Index of /alpine/edge/testing/aarch64
Name
Last modified
Size
Description
Parent Directory
-
lua-psl-0.3-r0.apk
2024-10-25 19:09
1.1K
lua-lcurses-9.0.0-r0.apk
2024-10-25 19:09
1.1K
msgpuck-2.0-r1.apk
2024-10-25 19:10
1.2K
lua-linenoise-0.9-r1.apk
2024-10-25 19:09
1.2K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 19:09
1.2K
simpleble-0.6.1-r2.apk
2024-12-14 19:38
1.2K
boxed-cpp-1.4.3-r0.apk
2024-10-25 19:08
1.2K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 19:09
1.2K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 19:09
1.2K
flutter-3.27.0-r0.apk
2024-12-14 22:33
1.2K
wasm-micro-runtime-2.2.0-r0.apk
2024-12-05 16:06
1.2K
dotnet6-stage0-6.0.116-r4.apk
2024-10-25 19:09
1.2K
font-fira-4.202-r0.apk
2024-10-25 19:09
1.2K
luacov-html-1.0.0-r1.apk
2024-10-25 19:09
1.2K
kanidm-1.4.5-r0.apk
2024-12-21 18:32
1.2K
apk-readme-0.1-r1.apk
2024-10-25 19:08
1.2K
linuxptp-4.4-r0.apk
2024-11-20 00:45
1.2K
baikal-mysql-0.10.1-r0.apk
2024-11-10 17:23
1.2K
restinio-0.6.19-r1.apk
2024-12-14 19:38
1.2K
baikal-pgsql-0.10.1-r0.apk
2024-11-10 17:23
1.2K
lumina-desktop-1.6.2-r0.apk
2024-10-25 19:09
1.2K
nb-full-7.15.0-r0.apk
2024-12-15 22:08
1.2K
ruby-build-runtime-20241213-r0.apk
2024-12-17 20:28
1.3K
baikal-sqlite-0.10.1-r0.apk
2024-11-10 17:23
1.4K
elastic-beats-8.14.2-r0.apk
2024-10-25 19:09
1.4K
elfio-3.12-r0.apk
2024-10-25 19:09
1.4K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 19:09
1.4K
lua-xml-1.1.3-r2.apk
2024-10-25 19:09
1.4K
drawpile-2.2.1-r1.apk
2024-10-25 19:09
1.4K
tofutf-0.10.0-r0.apk
2024-10-25 19:11
1.4K
arcticons-icon-theme-9.6.5.0-r0.apk
2024-10-25 19:08
1.4K
mesa-asahi-va-gallium-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
1.4K
libnest2d-0.4-r6.apk
2024-10-25 19:09
1.4K
mesa-asahi-vdpau-gallium-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
1.4K
arc-theme-20221218-r0.apk
2024-10-25 19:08
1.4K
lua-lanes-3.16.0-r1.apk
2024-10-25 19:09
1.4K
libqofono-0.123-r1.apk
2024-10-25 19:09
1.4K
nextpnr-0.7-r0.apk
2024-10-25 19:10
1.4K
f_scripts-0.6-r1.apk
2024-10-25 19:09
1.4K
luacov-0.15.0-r0.apk
2024-10-25 19:09
1.4K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.5K
logc-libs-0.1.0-r0.apk
2024-10-25 19:09
1.5K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 19:09
1.5K
font-monaspace-1.101-r0.apk
2024-10-25 19:09
1.5K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 19:09
1.5K
aufs-util-dev-20161219-r3.apk
2024-10-25 19:08
1.5K
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 19:09
1.5K
lua-luastatic-0.0.12-r1.apk
2024-10-25 19:09
1.5K
openjdk22-22.0.2_p9-r2.apk
2024-10-25 19:10
1.5K
openjdk23-23.0.1_p11-r0.apk
2024-10-25 19:10
1.5K
ovos-0.0.1-r1.apk
2024-10-25 19:10
1.5K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 19:10
1.5K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 19:10
1.5K
termcolor-2.1.0-r0.apk
2024-10-25 19:10
1.5K
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 19:10
1.5K
lomiri-thumbnailer-doc-3.0.3-r2.apk
2024-10-25 19:09
1.5K
qt5ct-dev-1.8-r0.apk
2024-10-25 19:10
1.5K
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 19:10
1.5K
musikcube-plugin-all-3.0.4-r0.apk
2024-10-25 19:10
1.5K
slidge-matridge-openrc-0.1.0-r0.apk
2024-10-25 19:10
1.5K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 19:09
1.5K
newlib-esp-0_git20240109-r0.apk
2024-10-25 19:10
1.5K
libopensles-standalone-dev-0_git20240221-r0.apk
2024-10-25 19:09
1.5K
remake-make-1.5-r1.apk
2024-10-25 19:10
1.5K
android-file-transfer-dev-4.3-r0.apk
2024-10-25 19:08
1.5K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 19:09
1.6K
skia-sharp-dev-0_git20230912-r0.apk
2024-10-25 19:10
1.6K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 19:09
1.6K
iwasm-2.2.0-r0.apk
2024-12-05 16:06
1.6K
lizardfs-master-openrc-3.13.0-r14.apk
2024-12-04 11:57
1.6K
lizardfs-chunkserver-openrc-3.13.0-r14.apk
2024-12-04 11:57
1.6K
lizardfs-metalogger-openrc-3.13.0-r14.apk
2024-12-04 11:57
1.6K
nullmailer-openrc-2.2-r4.apk
2024-10-25 19:10
1.6K
moosefs-master-openrc-3.0.117-r2.apk
2024-10-25 19:10
1.6K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:25
1.6K
tremc-zsh-completion-0.9.3-r0.apk
2024-10-25 19:11
1.6K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.6K
niri-portalsconf-0.1.10.1-r2.apk
2024-11-24 22:02
1.6K
moosefs-metalogger-openrc-3.0.117-r2.apk
2024-10-25 19:10
1.6K
moosefs-chunkserver-openrc-3.0.117-r2.apk
2024-10-25 19:10
1.6K
speakersafetyd-openrc-1.0.2-r0.apk
2024-11-01 15:07
1.6K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 19:10
1.6K
barman-bash-completion-3.11.1-r0.apk
2024-10-25 19:08
1.6K
pigpio-openrc-79-r4.apk
2024-10-25 19:10
1.6K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 19:10
1.6K
aprilsh-0.7.12-r0.apk
2024-10-25 19:08
1.6K
grlx-sprout-openrc-1.0.5-r0.apk
2024-10-25 19:09
1.6K
grlx-farmer-openrc-1.0.5-r0.apk
2024-10-25 19:09
1.6K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 19:11
1.6K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 19:10
1.6K
fileshelter-openrc-6.2.0-r2.apk
2024-12-07 00:23
1.6K
flutter-tool-developer-3.27.0-r0.apk
2024-12-14 22:33
1.6K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 19:11
1.6K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 19:10
1.6K
fluent-bit-openrc-3.1.10-r0.apk
2024-11-10 12:15
1.6K
lutgen-zsh-completion-0.11.2-r0.apk
2024-10-25 19:09
1.6K
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk
2024-10-25 19:10
1.7K
dstask-zsh-completion-0.26-r9.apk
2024-10-25 19:09
1.7K
lxd-feature-doc-5.20-r6.apk
2024-10-25 19:09
1.7K
dotnet-zsh-completion-6.0.136-r1.apk
2024-11-25 04:51
1.7K
ruuvi-prometheus-openrc-0.1.7-r5.apk
2024-10-25 19:10
1.7K
hiawatha-openrc-11.6-r0.apk
2024-10-25 19:09
1.7K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 19:08
1.7K
3proxy-openrc-0.9.4-r1.apk
2024-10-25 19:08
1.7K
lutgen-bash-completion-0.11.2-r0.apk
2024-10-25 19:09
1.7K
nymphcast-mediaserver-nftables-0.1-r3.apk
2024-10-25 19:10
1.7K
tremc-bash-completion-0.9.3-r0.apk
2024-10-25 19:11
1.7K
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 19:09
1.7K
tuptime-openrc-5.2.4-r1.apk
2024-11-28 22:44
1.7K
materia-20210322-r1.apk
2024-10-25 19:10
1.7K
wroomd-openrc-0.1.0-r0.apk
2024-10-25 19:11
1.7K
materia-compact-20210322-r1.apk
2024-10-25 19:10
1.7K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 19:09
1.7K
materia-dark-20210322-r1.apk
2024-10-25 19:10
1.7K
bionic_translation-dev-0_git20240525-r0.apk
2024-10-25 19:08
1.7K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.7K
neard-openrc-0.19-r0.apk
2024-10-25 19:10
1.7K
wf-shell-dev-0.8.1-r0.apk
2024-10-25 19:11
1.7K
zrepl-openrc-0.6.1-r6.apk
2024-10-25 19:11
1.7K
rinetd-openrc-0.73-r0.apk
2024-10-25 19:10
1.7K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 19:10
1.7K
pully-openrc-1.0.0-r0.apk
2024-10-25 19:10
1.7K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 19:10
1.7K
repowerd-openrc-2023.07-r2.apk
2024-10-25 19:10
1.7K
speedtest-go-openrc-1.1.5-r10.apk
2024-10-25 19:10
1.7K
materia-dark-compact-20210322-r1.apk
2024-10-25 19:10
1.7K
razercfg-openrc-0.42-r7.apk
2024-10-25 19:10
1.7K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 19:11
1.7K
lutgen-fish-completion-0.11.2-r0.apk
2024-10-25 19:09
1.7K
nmap-parse-output-bash-completion-1.5.1-r0.apk
2024-10-25 19:10
1.7K
cliphist-fzf-0.6.1-r0.apk
2024-10-25 19:08
1.7K
cloudflared-openrc-2024.11.0-r0.apk
2024-12-14 20:41
1.7K
ghq-bash-completion-1.7.1-r0.apk
2024-12-07 20:23
1.7K
crowdsec-openrc-1.6.4-r0.apk
2024-11-24 07:46
1.7K
arc-20221218-r0.apk
2024-10-25 19:08
1.7K
octoprint-openrc-1.10.1-r1.apk
2024-10-25 19:10
1.7K
arc-dark-20221218-r0.apk
2024-10-25 19:08
1.7K
mcron-openrc-1.0.0-r1.apk
2024-11-28 16:16
1.7K
dcmtk-openrc-3.6.8-r0.apk
2024-10-25 19:08
1.8K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 19:11
1.8K
fastd-openrc-22-r3.apk
2024-10-25 19:09
1.8K
kopia-bash-completion-0.17.0-r2.apk
2024-10-25 19:09
1.8K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.8K
arc-lighter-20221218-r0.apk
2024-10-25 19:08
1.8K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.8K
arc-darker-20221218-r0.apk
2024-10-25 19:08
1.8K
drawpile-server-openrc-2.2.1-r1.apk
2024-10-25 19:09
1.8K
efl-gdb-1.27.0-r1.apk
2024-10-25 19:09
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 19:10
1.8K
fyi-bash-completion-1.0.4-r0.apk
2024-10-25 19:09
1.8K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 19:10
1.8K
docker-volume-local-persist-openrc-1.3.0-r28.apk
2024-10-25 19:08
1.8K
kopia-zsh-completion-0.17.0-r2.apk
2024-10-25 19:09
1.8K
peervpn-openrc-0.044-r5.apk
2024-10-25 19:10
1.8K
mailctl-bash-completion-0.9.2-r0.apk
2024-10-25 19:09
1.8K
yazi-fish-completion-0.4.2-r0.apk
2024-12-20 16:26
1.8K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 19:10
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 19:10
1.8K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 19:10
1.8K
dotnet-bash-completion-6.0.136-r1.apk
2024-11-25 04:51
1.8K
yaru-schemas-23.10.0-r1.apk
2024-12-01 02:28
1.8K
avahi2dns-openrc-0.0.1_git20240102-r2.apk
2024-10-25 19:08
1.8K
piping-server-openrc-0.18.0-r0.apk
2024-10-25 19:10
1.8K
spacectl-zsh-completion-1.0.0-r1.apk
2024-10-25 19:10
1.8K
udpt-openrc-3.1.2-r0.apk
2024-10-25 19:11
1.8K
kanidm-openrc-1.4.5-r0.apk
2024-12-21 18:32
1.8K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 19:11
1.8K
geodns-openrc-3.3.0-r8.apk
2024-10-25 19:09
1.8K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 19:10
1.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.8K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 19:10
1.8K
py3-yosys-0.42-r0.apk
2024-10-25 19:10
1.8K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 19:10
1.8K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 19:10
1.8K
river-bedload-zsh-completion-0.1.1-r0.apk
2024-10-27 18:25
1.8K
librespot-openrc-0.6.0-r0.apk
2024-11-02 01:23
1.8K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk
2024-10-25 19:09
1.8K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 19:10
1.8K
aprilsh-openrc-0.7.12-r0.apk
2024-10-25 19:08
1.8K
espeakup-openrc-0.90-r2.apk
2024-10-25 19:09
1.8K
manticore-openrc-6.3.8-r0.apk
2024-12-04 11:57
1.8K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 19:10
1.8K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 19:10
1.8K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 19:10
1.8K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 19:10
1.8K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 19:09
1.8K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 19:09
1.8K
irccd-openrc-4.0.3-r0.apk
2024-10-25 19:09
1.8K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 19:10
1.8K
jackal-openrc-0.64.0-r10.apk
2024-10-25 19:09
1.8K
lizardfs-bash-completion-3.13.0-r14.apk
2024-12-04 11:57
1.8K
materia-kde-konsole-20220823-r0.apk
2024-10-25 19:10
1.8K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 19:10
1.8K
eiwd-openrc-2.22-r0.apk
2024-10-25 19:09
1.8K
mimir-openrc-2.14.2-r0.apk
2024-11-22 09:35
1.8K
gearmand-openrc-1.1.21-r1.apk
2024-10-25 19:09
1.8K
laminar-zsh-completion-1.3-r4.apk
2024-10-25 19:09
1.8K
prometheus-smartctl-exporter-openrc-0.13.0-r0.apk
2024-12-20 16:26
1.8K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 19:10
1.8K
p910nd-openrc-0.97-r2.apk
2024-10-25 19:10
1.8K
taskcafe-openrc-0.3.6-r8.apk
2024-10-25 19:10
1.8K
prometheus-ceph-exporter-openrc-4.2.4-r1.apk
2024-10-25 19:10
1.8K
Ceph
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 19:10
1.8K
seaweedfs-openrc-3.79-r0.apk
2024-11-10 08:07
1.8K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 19:10
1.8K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 19:10
1.8K
ntpd-rs-openrc-1.4.0-r0.apk
2024-12-14 19:38
1.8K
filebrowser-openrc-2.27.0-r6.apk
2024-10-25 19:09
1.8K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 19:10
1.8K
cloudflared-doc-2024.11.0-r0.apk
2024-12-14 20:41
1.8K
reaction-openrc-1.4.1-r1.apk
2024-10-25 19:10
1.9K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:02
1.9K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 19:08
1.9K
ckb-next-daemon-openrc-0.6.0-r1.apk
2024-10-25 19:08
1.9K
mitra-openrc-3.12.0-r0.apk
2024-12-20 14:41
1.9K
laminar-openrc-1.3-r4.apk
2024-10-25 19:09
1.9K
lemmy-openrc-0.19.5-r1.apk
2024-10-25 19:09
1.9K
flowd-openrc-0.9.1-r10.apk
2024-10-25 19:09
1.9K
mailctl-fish-completion-0.9.2-r0.apk
2024-10-25 19:09
1.9K
pict-rs-openrc-0.5.16-r1.apk
2024-10-25 19:10
1.9K
pgcat-openrc-0.2.1-r0.apk
2024-10-25 19:10
1.9K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 19:10
1.9K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 19:11
1.9K
py3-pysequoia-pyc-0.1.20-r3.apk
2024-10-25 19:10
1.9K
laminar-bash-completion-1.3-r4.apk
2024-10-25 19:09
1.9K
llmnrd-openrc-0.7-r1.apk
2024-10-25 19:09
1.9K
please-build-bash-completion-17.12.7-r0.apk
2024-12-14 16:39
1.9K
xkb-switch-doc-1.8.5-r0.apk
2024-10-25 19:11
1.9K
please-build-zsh-completion-17.12.7-r0.apk
2024-12-14 16:39
1.9K
speedtest_exporter-openrc-0.3.2-r10.apk
2024-10-25 19:10
1.9K
mtg-openrc-2.1.7-r16.apk
2024-10-25 19:10
1.9K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 19:09
1.9K
libsirocco-dev-2.1.0-r2.apk
2024-10-25 19:09
1.9K
otrs-openrc-6.0.48-r2.apk
2024-10-25 19:10
1.9K
prometheus-bind-exporter-openrc-0.7.0-r6.apk
2024-10-25 19:10
1.9K
alloy-openrc-1.5.1-r0.apk
2024-12-11 20:39
1.9K
mautrix-discord-openrc-0.7.2-r0.apk
2024-12-17 05:24
1.9K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 19:10
1.9K
f_scripts-f_game-0.6-r1.apk
2024-10-25 19:09
1.9K
turn-rs-openrc-3.2.0-r0.apk
2024-12-01 00:41
1.9K
json2tsv-jaq-1.2-r0.apk
2024-10-25 19:09
1.9K
upterm-server-openrc-0.14.3-r0.apk
2024-10-25 19:11
1.9K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:06
1.9K
ombi-openrc-4.44.1-r0.apk
2024-10-25 19:10
1.9K
lizardfs-cgiserv-openrc-3.13.0-r14.apk
2024-12-04 11:57
1.9K
openfire-openrc-4.8.1-r1.apk
2024-12-04 11:57
1.9K
empede-openrc-0.2.3-r0.apk
2024-10-25 19:09
1.9K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 19:09
1.9K
satellite-openrc-1.0.0-r23.apk
2024-10-25 19:10
1.9K
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk
2024-10-25 19:10
1.9K
zrepl-zsh-completion-0.6.1-r6.apk
2024-10-25 19:11
1.9K
moosefs-cgiserv-openrc-3.0.117-r2.apk
2024-10-25 19:10
1.9K
sish-openrc-2.16.0-r2.apk
2024-10-25 19:10
1.9K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 19:08
1.9K
conntracct-openrc-0.2.7-r26.apk
2024-10-25 19:08
1.9K
py3-slidge-style-parser-pyc-0.1.8-r0.apk
2024-10-25 19:10
1.9K
bees-openrc-0.10-r2.apk
2024-10-25 19:08
1.9K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 19:10
1.9K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 19:10
2.0K
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 19:09
2.0K
mailctl-zsh-completion-0.9.2-r0.apk
2024-10-25 19:09
2.0K
alps-openrc-0_git20230807-r7.apk
2024-11-04 20:01
2.0K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 19:09
2.0K
daemontools-openrc-0.76-r3.apk
2024-10-25 19:08
2.0K
tang-openrc-14-r0.apk
2024-10-25 19:10
2.0K
maddy-openrc-0.7.1-r5.apk
2024-10-25 19:09
2.0K
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk
2024-10-25 19:10
2.0K
prowlarr-openrc-1.27.0.4852-r0.apk
2024-12-04 11:57
2.0K
thanos-openrc-0.31.0-r8.apk
2024-10-25 19:11
2.0K
tealdeer-bash-completion-1.7.1-r0.apk
2024-12-14 18:11
2.0K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 19:10
2.0K
xisxwayland-doc-2-r1.apk
2024-10-25 19:11
2.0K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 19:09
2.0K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 19:10
2.0K
gortr-openrc-0.14.8-r8.apk
2024-10-25 19:09
2.0K
sonarr-openrc-4.0.11.2680-r0.apk
2024-12-04 11:57
2.0K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 19:10
2.0K
lidarr-openrc-2.7.1.4417-r0.apk
2024-10-28 12:23
2.0K
agate-openrc-3.3.8-r0.apk
2024-10-25 19:08
2.0K
cortex-tenant-openrc-1.15.2-r1.apk
2024-12-19 09:49
2.0K
exercism-bash-completion-3.2.0-r7.apk
2024-10-25 19:09
2.0K
geomyidae-openrc-0.34-r2.apk
2024-10-25 19:09
2.0K
radarr-openrc-5.15.1.9463-r0.apk
2024-11-25 14:13
2.0K
perl-lv-backend-sentinel-0.006-r0.apk
2024-10-25 19:10
2.0K
stayrtr-openrc-0.6.1-r0.apk
2024-10-25 19:10
2.0K
prometheus-unbound-exporter-openrc-0.4.6-r0.apk
2024-10-25 19:10
2.0K
ddnrs-openrc-0.3.0-r0.apk
2024-10-25 19:08
2.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 19:10
2.0K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
docker-auth-openrc-1.12.0-r0.apk
2024-11-13 11:52
2.0K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 19:10
2.0K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
wlopm-doc-0.1.0-r0.apk
2024-10-25 19:11
2.0K
filebeat-openrc-8.14.2-r0.apk
2024-10-25 19:09
2.0K
msh-openrc-2.5.0-r7.apk
2024-10-25 19:10
2.0K
downloader-cli-0.3.4-r1.apk
2024-10-25 19:09
2.0K
lomiri-docviewer-app-doc-3.0.4-r0.apk
2024-10-25 19:09
2.0K
dnote-zsh-completion-0.15.1-r0.apk
2024-10-25 19:08
2.0K
lazymc-openrc-0.2.11-r0.apk
2024-10-25 19:09
2.0K
sing-box-openrc-1.10.3-r0.apk
2024-12-10 09:39
2.0K
tofutf-server-openrc-0.10.0-r0.apk
2024-10-25 19:11
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
tofutf-agent-openrc-0.10.0-r0.apk
2024-10-25 19:11
2.0K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 19:10
2.0K
zot-openrc-2.1.1-r0.apk
2024-12-15 19:24
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.0K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 19:10
2.0K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 19:11
2.0K
prometheus-smokeping-prober-openrc-0.7.1-r7.apk
2024-10-25 19:10
2.0K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 19:10
2.0K
yarr-openrc-2.4-r8.apk
2024-10-25 19:11
2.0K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 19:08
2.0K
libuninameslist-doc-20230916-r0.apk
2024-10-25 19:09
2.0K
yazi-bash-completion-0.4.2-r0.apk
2024-12-20 16:26
2.0K
spacectl-bash-completion-1.0.0-r1.apk
2024-10-25 19:10
2.0K
utop-common-2.9.1-r4.apk
2024-10-25 19:11
2.0K
lomiri-indicator-network-doc-1.0.2-r2.apk
2024-10-25 19:09
2.0K
metricbeat-openrc-8.14.2-r0.apk
2024-10-25 19:10
2.0K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 19:10
2.0K
firehol-openrc-3.1.7-r2.apk
2024-10-25 19:09
2.1K
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk
2024-10-25 19:09
2.1K
tailspin-fish-completion-3.0.0-r0.apk
2024-10-25 19:10
2.1K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 19:08
2.1K
sdl3-doc-3.1.6-r0.apk
2024-11-02 20:29
2.1K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 19:10
2.1K
autoscan-openrc-1.4.0-r6.apk
2024-10-25 19:08
2.1K
kuma-dp-openrc-2.8.4-r0.apk
2024-10-25 19:09
2.1K
strfry-openrc-0.9.6-r0.apk
2024-10-25 19:10
2.1K
dnote-bash-completion-0.15.1-r0.apk
2024-10-25 19:08
2.1K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 19:11
2.1K
ytmdl-zsh-completion-2024.08.15.1-r0.apk
2024-10-25 19:11
2.1K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 19:08
2.1K
pithos-doc-1.6.1-r0.apk
2024-10-25 19:10
2.1K
spampd-openrc-2.61-r1.apk
2024-10-25 19:10
2.1K
kuma-cp-openrc-2.8.4-r0.apk
2024-10-25 19:09
2.1K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 19:11
2.1K
adguardhome-openrc-0.107.55-r0.apk
2024-12-12 19:33
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 19:09
2.1K
viewnior-doc-1.8-r1.apk
2024-10-25 19:11
2.1K
fildesh-doc-0.2.0-r0.apk
2024-10-25 19:09
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 19:09
2.1K
cherrytree-doc-1.2.0-r3.apk
2024-12-18 12:16
2.1K
dstask-bash-completion-0.26-r9.apk
2024-10-25 19:09
2.1K
aero2solver-openrc-1.1.0-r0.apk
2024-10-25 19:08
2.1K
nzbget-openrc-24.3-r0.apk
2024-10-25 19:10
2.1K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 19:10
2.1K
listenbrainz-mpd-bash-completion-2.3.8-r0.apk
2024-10-25 19:09
2.1K
perl-lv-backend-magic-0.006-r0.apk
2024-10-25 19:10
2.1K
clevis-bash-completion-19-r0.apk
2024-10-25 19:08
2.1K
yazi-zsh-completion-0.4.2-r0.apk
2024-12-20 16:26
2.1K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:06
2.1K
f_scripts-f_maps-0.6-r1.apk
2024-10-25 19:09
2.1K
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk
2024-10-25 19:10
2.1K
lxd-feature-scripts-5.20-r6.apk
2024-10-25 19:09
2.1K
exercism-zsh-completion-3.2.0-r7.apk
2024-10-25 19:09
2.1K
xandikos-openrc-0.2.12-r1.apk
2024-11-25 05:06
2.1K
hitide-openrc-0.15.0-r0.apk
2024-10-25 19:09
2.1K
mdnsd-openrc-0.12-r1.apk
2024-10-25 19:10
2.1K
qtpass-doc-1.4.0-r0.apk
2024-10-25 19:10
2.1K
fuzzylite-doc-6.0-r0.apk
2024-10-25 19:09
2.1K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 18:04
2.1K
haproxy-dataplaneapi-openrc-3.0.1-r0.apk
2024-10-25 19:09
2.1K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 19:10
2.1K
code-oss-bash-completion-1.96.1-r0.apk
2024-12-19 05:41
2.1K
oauth2-proxy-openrc-7.6.0-r6.apk
2024-10-25 19:10
2.1K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 19:11
2.2K
lemmy-ui-openrc-0.19.5-r0.apk
2024-10-25 19:09
2.2K
planner-doc-0.14.92-r1.apk
2024-12-08 21:43
2.2K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-28 16:16
2.2K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 19:08
2.2K
crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk
2024-10-25 19:08
2.2K
fbcur-doc-1.0.1-r1.apk
2024-10-25 19:09
2.2K
soundfont-vintage-dreams-waves-doc-2.1-r2.apk
2024-10-25 19:10
2.2K
cargo-leptos-doc-0.2.24-r0.apk
2024-12-08 20:37
2.2K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 19:09
2.2K
ruby-notify-doc-0.5.2-r0.apk
2024-10-25 19:10
2.2K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:44
2.2K
nano-hare-0_git20231021-r0.apk
2024-10-25 19:10
2.2K
fyi-fish-completion-1.0.4-r0.apk
2024-10-25 19:09
2.2K
jaq-doc-2.0.1-r0.apk
2024-12-14 20:12
2.2K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.2K
lefthook-doc-1.8.5-r0.apk
2024-12-05 12:37
2.2K
piler-openrc-1.4.6-r0.apk
2024-10-25 19:10
2.2K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 19:09
2.2K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 19:08
2.2K
flare-game-1.14-r0.apk
2024-10-25 19:09
2.2K
mint-themes-2.1.1-r0.apk
2024-10-25 19:10
2.2K
porla-doc-0.41.0-r1.apk
2024-12-05 22:08
2.2K
yazi-doc-0.4.2-r0.apk
2024-12-20 16:26
2.2K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 19:09
2.2K
exabgp-openrc-4.2.22-r0.apk
2024-11-30 10:47
2.2K
gmid-openrc-2.1.1-r0.apk
2024-11-27 19:26
2.2K
helmfile-doc-0.169.2-r0.apk
2024-12-14 17:24
2.2K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 19:10
2.2K
qt-jdenticon-doc-0.3.1-r0.apk
2024-10-25 19:10
2.2K
ruby-docile-doc-1.4.1-r0.apk
2024-10-25 19:10
2.2K
tree-sitter-xml-doc-0.7.0-r0.apk
2024-11-14 13:09
2.2K
hurl-bash-completion-6.0.0-r0.apk
2024-12-07 20:19
2.2K
tree-sitter-markdown-doc-0.3.2-r0.apk
2024-10-25 19:11
2.2K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 19:10
2.2K
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 18:04
2.2K
ruby-simplecov-html-doc-0.13.1-r0.apk
2024-10-25 19:10
2.2K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 19:10
2.2K
tealdeer-fish-completion-1.7.1-r0.apk
2024-12-14 18:11
2.2K
vector-openrc-0.39.0-r0.apk
2024-10-25 19:11
2.2K
copyq-bash-completion-9.1.0-r1.apk
2024-12-12 17:44
2.2K
py3-backoff-doc-2.2.1-r0.apk
2024-10-25 19:10
2.2K
wf-shell-doc-0.8.1-r0.apk
2024-10-25 19:11
2.2K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 19:11
2.2K
aero2solver-doc-1.1.0-r0.apk
2024-10-25 19:08
2.2K
dnscontrol-doc-4.15.2-r0.apk
2024-12-17 20:02
2.2K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 19:10
2.2K
rio-doc-0.2.2-r0.apk
2024-11-24 22:44
2.2K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 19:08
2.2K
httpx-doc-1.6.9-r1.apk
2024-12-01 17:52
2.2K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:06
2.2K
svls-doc-0.2.12-r0.apk
2024-10-25 19:10
2.2K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 19:10
2.2K
gtk4-layer-shell-doc-1.0.4-r1.apk
2024-11-08 07:42
2.2K
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk
2024-10-25 19:10
2.2K
tailspin-bash-completion-3.0.0-r0.apk
2024-10-25 19:10
2.2K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 19:10
2.2K
stardict-doc-3.0.6-r6.apk
2024-10-25 19:10
2.2K
tree-sitter-scheme-doc-0.23.0-r0.apk
2024-10-25 19:11
2.2K
hyx-doc-2024.02.29-r0.apk
2024-10-25 19:09
2.2K
gamja-doc-1.0.0_beta9-r0.apk
2024-10-25 19:09
2.2K
ytmdl-bash-completion-2024.08.15.1-r0.apk
2024-10-25 19:11
2.2K
river-bedload-doc-0.1.1-r0.apk
2024-10-27 18:25
2.2K
helm-ls-doc-0.0.12-r4.apk
2024-10-25 19:09
2.2K
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 19:10
2.2K
tmpl-doc-0.4.0-r6.apk
2024-10-25 19:11
2.3K
dbmate-doc-2.16.0-r0.apk
2024-10-25 19:08
2.3K
motion-openrc-4.7.0-r0.apk
2024-10-25 19:10
2.3K
drogon-doc-1.9.4-r1.apk
2024-10-25 19:09
2.3K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 19:10
2.3K
ruby-simplecov-cobertura-2.1.0-r0.apk
2024-10-25 19:10
2.3K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 19:10
2.3K
tabby-doc-3.1-r1.apk
2024-10-25 19:10
2.3K
imgdiff-doc-1.0.2-r21.apk
2024-10-25 19:09
2.3K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 19:10
2.3K
slurm-doc-0.4.4-r0.apk
2024-10-25 19:10
2.3K
tree-sitter-nix-doc-0_git20230713-r0.apk
2024-10-25 19:11
2.3K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 19:08
2.3K
gx-go-doc-1.9.0-r27.apk
2024-10-25 19:09
2.3K
tfupdate-doc-0.8.2-r1.apk
2024-10-25 19:11
2.3K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 19:11
2.3K
gx-doc-0.14.3-r25.apk
2024-10-25 19:09
2.3K
py-spy-doc-0.3.14-r3.apk
2024-10-25 19:10
2.3K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 19:08
2.3K
mml-fish-completion-1.0.0-r0.apk
2024-10-25 19:10
2.3K
ergo-ldap-doc-0.0.1-r12.apk
2024-10-25 19:09
2.3K
spacectl-doc-1.0.0-r1.apk
2024-10-25 19:10
2.3K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 19:11
2.3K
Ubuntu Linux
eludris-doc-0.3.3-r1.apk
2024-10-25 19:09
2.3K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 19:11
2.3K
bootterm-dbg-0.5-r0.apk
2024-10-25 19:08
2.3K
sentinel-proxy-openrc-2.1.0-r0.apk
2024-10-25 19:10
2.3K
empede-doc-0.2.3-r0.apk
2024-10-25 19:09
2.3K
ouch-bash-completion-0.5.1-r0.apk
2024-10-25 19:10
2.3K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 19:10
2.3K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 19:09
2.3K
innernet-openrc-1.6.1-r0.apk
2024-10-25 19:09
2.3K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 19:11
2.3K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 19:10
2.3K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 19:10
2.3K
bgs-doc-0.8-r1.apk
2024-10-25 19:08
2.3K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 19:08
2.3K
thelounge-doc-4.4.3-r0.apk
2024-10-25 19:11
2.3K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 19:09
2.3K
bird3-openrc-3.0.0-r0.apk
2024-12-20 17:10
2.3K
mml-bash-completion-1.0.0-r0.apk
2024-10-25 19:10
2.3K
php81-pecl-imagick-dev-3.7.0-r5.apk
2024-10-25 19:10
2.3K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 19:09
2.3K
rpicam-apps-doc-1.5.0-r1.apk
2024-10-25 19:10
2.3K
apx-doc-2.4.4-r1.apk
2024-10-28 13:15
2.3K
slidge-openrc-0.1.3-r0.apk
2024-10-25 19:10
2.3K
libtins-doc-4.5-r1.apk
2024-10-25 19:09
2.3K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 19:11
2.3K
tealdeer-zsh-completion-1.7.1-r0.apk
2024-12-14 18:11
2.3K
mint-x-theme-2.1.1-r0.apk
2024-10-25 19:10
2.3K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 19:09
2.3K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 19:10
2.3K
river-shifttags-doc-0.2.1-r0.apk
2024-10-25 19:10
2.3K
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 19:10
2.3K
perl-dns-unbound-anyevent-0.29-r1.apk
2024-10-25 19:10
2.3K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:44
2.3K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 19:10
2.3K
qucs-s-doc-1.1.0-r1.apk
2024-10-25 19:10
2.4K
xandikos-doc-0.2.12-r1.apk
2024-11-25 05:06
2.4K
maddy-doc-0.7.1-r5.apk
2024-10-25 19:09
2.4K
ouch-fish-completion-0.5.1-r0.apk
2024-10-25 19:10
2.4K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 19:10
2.4K
gaupol-doc-1.12-r2.apk
2024-10-25 19:09
2.4K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 19:10
2.4K
f_scripts-f_timer-0.6-r1.apk
2024-10-25 19:09
2.4K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 19:10
2.4K
clipit-doc-1.4.5-r3.apk
2024-10-25 19:08
2.4K
py3-logfury-doc-1.0.1-r0.apk
2024-10-25 19:10
2.4K
btfs-doc-2.24-r12.apk
2024-10-25 19:08
2.4K
exercism-fish-completion-3.2.0-r7.apk
2024-10-25 19:09
2.4K
openwsman-doc-2.7.2-r5.apk
2024-10-25 19:10
2.4K
maxima-bash-completion-5.47.0-r8.apk
2024-10-25 19:10
2.4K
tonutils-reverse-proxy-doc-0.3.3-r0.apk
2024-10-25 19:11
2.4K
endlessh-doc-1.1-r0.apk
2024-10-25 19:09
2.4K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 19:10
2.4K
ghq-zsh-completion-1.7.1-r0.apk
2024-12-07 20:23
2.4K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 19:09
2.4K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 19:10
2.4K
lemmy-localdb-0.19.5-r1.apk
2024-10-25 19:09
2.4K
gliderlabs-sigil-doc-0.11.0-r0.apk
2024-10-25 19:09
2.4K
ocp-indent-vim-1.8.2-r2.apk
2024-10-25 19:10
2.4K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 19:10
2.4K
lottieconverter-doc-0.2_git20231219-r0.apk
2024-10-25 19:09
2.4K
perl-dns-unbound-ioasync-0.29-r1.apk
2024-10-25 19:10
2.4K
somebar-doc-1.0.3-r0.apk
2024-10-25 19:10
2.4K
ghq-fish-completion-1.7.1-r0.apk
2024-12-07 20:23
2.4K
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk
2024-10-25 19:11
2.4K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 19:08
2.4K
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 18:04
2.4K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:44
2.5K
lxd-feature-openrc-5.20-r6.apk
2024-10-25 19:09
2.5K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 19:10
2.5K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 19:09
2.5K
tailspin-zsh-completion-3.0.0-r0.apk
2024-10-25 19:10
2.5K
flare-engine-doc-1.14-r0.apk
2024-10-25 19:09
2.5K
libcotp-dev-3.1.0-r0.apk
2024-10-25 19:09
2.5K
lsdvd-doc-0.17-r0.apk
2024-10-25 19:09
2.5K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 19:10
2.5K
seed7-nano-05.20240322-r0.apk
2024-10-25 19:10
2.5K
beard-doc-0.4-r0.apk
2024-10-25 19:08
2.5K
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 19:09
2.5K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 19:08
2.5K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 19:10
2.5K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 19:11
2.5K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 19:11
2.5K
steamguard-cli-bash-completion-0.9.6-r0.apk
2024-10-25 19:10
2.5K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 19:10
2.5K
nicotine-plus-doc-3.3.7-r0.apk
2024-12-16 14:21
2.5K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 19:10
2.5K
hyfetch-zsh-completion-1.99.0-r1.apk
2024-10-25 19:09
2.5K
lsd-bash-completion-1.1.5-r0.apk
2024-10-25 19:09
2.5K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 19:09
2.5K
pully-1.0.0-r0.apk
2024-10-25 19:10
2.5K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 19:10
2.5K
stw-doc-0.3-r0.apk
2024-10-25 19:10
2.5K
xendmail-doc-0.4.3-r0.apk
2024-10-25 19:11
2.5K
f_scripts-f_rss-0.6-r1.apk
2024-10-25 19:09
2.5K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 19:10
2.5K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 22:44
2.5K
calibre-pyc-7.23.0-r0.apk
2024-12-21 03:30
2.5K
py3-igraph-dev-0.11.8-r0.apk
2024-11-24 07:46
2.5K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 19:11
2.5K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 19:08
2.6K
f_scripts-f_theme-0.6-r1.apk
2024-10-25 19:09
2.6K
ustream-ssl-dev-20220116-r1.apk
2024-10-25 19:11
2.6K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 19:10
2.6K
tup-vim-0.7.11-r0.apk
2024-10-25 19:11
2.6K
ol-doc-2.4-r0.apk
2024-10-25 19:10
2.6K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 19:10
2.6K
flann-doc-1.9.2-r0.apk
2024-10-25 19:09
2.6K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 19:10
2.6K
scooper-doc-1.3-r1.apk
2024-10-25 19:10
2.6K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 19:10
2.6K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 19:10
2.6K
lomiri-terminal-app-doc-2.0.3-r0.apk
2024-11-12 17:18
2.6K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 19:10
2.6K
rsstail-doc-2.1-r1.apk
2024-10-25 19:10
2.6K
xcompmgr-doc-1.1.9-r0.apk
2024-10-25 19:11
2.6K
trantor-doc-1.5.18-r0.apk
2024-10-25 19:11
2.6K
code-oss-zsh-completion-1.96.1-r0.apk
2024-12-19 05:41
2.6K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 19:09
2.6K
xsoldier-doc-1.8-r2.apk
2024-10-25 19:11
2.6K
tremc-doc-0.9.3-r0.apk
2024-10-25 19:11
2.6K
keydb-openrc-6.3.4-r0.apk
2024-10-25 19:09
2.6K
faust-vim-2.60.3-r2.apk
2024-10-25 19:09
2.6K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 19:10
2.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 19:10
2.6K
nsnake-doc-3.0.0-r0.apk
2024-10-25 19:10
2.6K
virtme-ng-bash-completion-1.31-r0.apk
2024-10-25 19:11
2.6K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 19:10
2.6K
kirc-doc-0.3.2-r0.apk
2024-10-25 19:09
2.6K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.6K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.6K
sxcs-doc-1.1.0-r0.apk
2024-10-25 19:10
2.6K
mame-common-0.251-r0.apk
2024-10-25 19:10
2.7K
rook-getattr-0.2.0-r0.apk
2024-10-25 19:10
2.7K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.7K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 19:11
2.7K
jami-qt-doc-20230925-r0.apk
2024-10-25 19:09
2.7K
perl-dns-unbound-mojo-0.29-r1.apk
2024-10-25 19:10
2.7K
mpop-vim-1.4.20-r1.apk
2024-10-25 19:10
2.7K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 19:08
2.7K
porla-openrc-0.41.0-r1.apk
2024-12-05 22:08
2.7K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 19:08
2.7K
py3-unidns-examples-0.0.1-r2.apk
2024-10-25 19:10
2.7K
pomo-doc-0.8.1-r18.apk
2024-10-25 19:10
2.7K
tailspin-doc-3.0.0-r0.apk
2024-10-25 19:10
2.7K
libnih-doc-1.0.3-r7.apk
2024-10-25 19:09
2.7K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.7K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 19:10
2.7K
ip2location-doc-8.6.1-r0.apk
2024-10-25 19:09
2.7K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 19:10
2.7K
mcron-doc-1.0.0-r1.apk
2024-11-28 16:16
2.7K
fzy-doc-1.0-r3.apk
2024-10-25 19:09
2.7K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 19:09
2.7K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 19:10
2.7K
quodlibet-zsh-completion-4.6.0-r1.apk
2024-10-25 19:10
2.7K
b2sum-doc-20190729-r2.apk
2024-10-25 19:08
2.7K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 19:10
2.7K
nb-fish-completion-7.15.0-r0.apk
2024-12-15 22:08
2.7K
wayfire-doc-0.8.1-r1.apk
2024-10-25 19:11
2.7K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 19:10
2.8K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 19:08
2.8K
apulse-doc-0.1.13-r2.apk
2024-10-25 19:08
2.8K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 19:10
2.8K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 19:11
2.8K
lfm-doc-3.1-r4.apk
2024-10-25 19:09
2.8K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.8K
gatling-openrc-0.16-r6.apk
2024-10-25 19:09
2.8K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 19:08
2.8K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 19:10
2.8K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 19:10
2.8K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 19:10
2.8K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 19:10
2.8K
f_scripts-f_youtube-0.6-r1.apk
2024-10-25 19:09
2.8K
mangr0ve-0.1.2-r0.apk
2024-10-25 19:10
2.8K
chim-doc-1.1.2-r1.apk
2024-10-25 19:08
2.8K
hunspell-es-ar-doc-2.7-r0.apk
2024-10-25 19:09
2.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.8K
logtop-doc-0.7-r0.apk
2024-10-25 19:09
2.8K
git-extras-bash-completion-7.3.0-r0.apk
2024-11-20 00:45
2.8K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 19:10
2.8K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.8K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 19:10
2.8K
mml-zsh-completion-1.0.0-r0.apk
2024-10-25 19:10
2.8K
xcape-doc-1.2-r0.apk
2024-10-25 19:11
2.8K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 19:10
2.8K
cproc-doc-0_git20240427-r1.apk
2024-11-03 21:51
2.8K
gpa-doc-0.10.0-r2.apk
2024-10-25 19:09
2.9K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 19:10
2.9K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 19:08
2.9K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 19:11
2.9K
ouch-zsh-completion-0.5.1-r0.apk
2024-10-25 19:10
2.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 19:09
2.9K
sacc-doc-1.07-r0.apk
2024-10-25 19:10
2.9K
makedumpfile-openrc-1.7.6-r0.apk
2024-10-28 04:12
2.9K
pounce-openrc-3.1-r3.apk
2024-10-25 19:10
2.9K
lomiri-location-service-doc-3.1.0-r7.apk
2024-10-25 19:09
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 19:10
2.9K
desed-doc-1.2.1-r1.apk
2024-10-25 19:08
2.9K
git-quick-stats-doc-2.5.8-r0.apk
2024-10-25 19:09
2.9K
pxalarm-3.0.0-r0.apk
2024-10-25 19:10
2.9K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 19:08
2.9K
ptyxis-doc-47.6-r0.apk
2024-12-06 22:58
2.9K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 19:10
2.9K
pamtester-doc-0.1.2-r4.apk
2024-10-25 19:10
2.9K
nb-zsh-completion-7.15.0-r0.apk
2024-12-15 22:08
2.9K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 19:10
2.9K
noggin-doc-0.1-r11.apk
2024-10-25 19:10
2.9K
f_scripts-f_web-0.6-r1.apk
2024-10-25 19:09
2.9K
gtklock-doc-2.1.0-r0.apk
2024-10-25 19:09
2.9K
nkk-dev-0_git20221010-r0.apk
2024-10-25 19:10
2.9K
restic.mk-0.4.0-r0.apk
2024-10-25 19:10
2.9K
efibootguard-zsh-completion-0.16-r0.apk
2024-10-25 19:09
2.9K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 19:11
2.9K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 19:09
2.9K
f_scripts-f_files-0.6-r1.apk
2024-10-25 19:09
2.9K
nb-bash-completion-7.15.0-r0.apk
2024-12-15 22:08
2.9K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 19:11
2.9K
remake-dev-1.5-r1.apk
2024-10-25 19:10
2.9K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 19:11
2.9K
usbmuxd-doc-1.1.1-r8.apk
2024-10-30 22:44
2.9K
rankwidth-dev-0.9-r3.apk
2024-10-25 19:10
2.9K
perl-cairo-gobject-doc-1.005-r4.apk
2024-10-25 19:10
2.9K
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 19:10
3.0K
rke-doc-1.4.3-r10.apk
2024-10-25 19:10
3.0K
limkd-doc-0.1.2-r0.apk
2024-10-25 19:09
3.0K
libabigail-bash-completion-2.3-r0.apk
2024-10-25 19:09
3.0K
perl-cache-lru-0.04-r0.apk
2024-10-25 19:10
3.0K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 19:10
3.0K
lowjs-doc-1.6.2-r2.apk
2024-10-25 19:09
3.0K
isomd5sum-doc-1.2.3-r3.apk
2024-10-25 19:09
3.0K
lynis-bash-completion-3.1.1-r0.apk
2024-10-25 19:09
3.0K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 19:10
3.0K
p910nd-doc-0.97-r2.apk
2024-10-25 19:10
3.0K
hatop-doc-0.8.2-r0.apk
2024-10-25 19:09
3.0K
qgis-doc-3.34.13-r0.apk
2024-11-30 21:05
3.0K
pokoy-doc-0.2.5-r0.apk
2024-10-25 19:10
3.0K
bchunk-doc-1.2.2-r3.apk
2024-10-25 19:08
3.0K
py3-pytaglib-pyc-1.5.0-r3.apk
2024-10-25 19:10
3.0K
perl-linux-pid-doc-0.04-r13.apk
2024-10-25 19:10
3.0K
f_scripts-f_networks-0.6-r1.apk
2024-10-25 19:09
3.0K
pipectl-doc-0.4.1-r1.apk
2024-10-25 19:10
3.0K
libctl-doc-4.5.1-r1.apk
2024-10-25 19:09
3.0K
geonames-dev-0.3.1-r2.apk
2024-10-25 19:09
3.0K
satellite-doc-1.0.0-r23.apk
2024-10-25 19:10
3.0K
ansiweather-doc-1.19.0-r1.apk
2024-10-25 19:08
3.0K
pastel-bash-completion-0.10.0-r0.apk
2024-10-25 19:10
3.0K
opendht-doc-3.1.7-r5.apk
2024-12-14 19:38
3.0K
rankwidth-doc-0.9-r3.apk
2024-10-25 19:10
3.0K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 19:10
3.0K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 19:10
3.0K
faultstat-doc-0.01.11-r0.apk
2024-10-25 19:09
3.0K
llmnrd-doc-0.7-r1.apk
2024-10-25 19:09
3.0K
cocogitto-bash-completion-6.2.0-r1.apk
2024-12-12 21:08
3.0K
cocogitto-zsh-completion-6.2.0-r1.apk
2024-12-12 21:08
3.0K
hwatch-doc-0.3.11-r0.apk
2024-10-25 19:09
3.0K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 19:10
3.0K
rivercarro-doc-0.5.0-r0.apk
2024-10-27 13:20
3.0K
perl-perlio-locale-doc-0.10-r12.apk
2024-10-25 19:10
3.0K
snapper-bash-completion-0.12.0-r0.apk
2024-11-20 00:45
3.0K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 19:08
3.1K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 19:10
3.1K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 19:10
3.1K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 19:10
3.1K
ocp-index-vim-1.3.6-r0.apk
2024-10-25 19:10
3.1K
sedutil-doc-1.15.1-r1.apk
2024-10-25 19:10
3.1K
laze-bash-completion-0.1.27-r0.apk
2024-12-09 16:24
3.1K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 19:10
3.1K
snore-doc-0.3.1-r0.apk
2024-10-25 19:10
3.1K
csmith-doc-2.3.0-r2.apk
2024-10-25 19:08
3.1K
rpi-imager-doc-1.9.0-r0.apk
2024-10-25 19:10
3.1K
volatility3-doc-2.8.0-r0.apk
2024-12-05 17:17
3.1K
tootik-openrc-0.13.0-r0.apk
2024-11-21 04:59
3.1K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 19:11
3.1K
sympow-doc-2.023.7-r2.apk
2024-10-25 19:10
3.1K
perl-http-thin-0.006-r0.apk
2024-10-25 19:10
3.1K
libopensmtpd-dev-0.7-r0.apk
2024-10-25 19:09
3.1K
luksmeta-dev-9-r0.apk
2024-10-25 19:09
3.1K
perl-multidimensional-doc-0.014-r0.apk
2024-10-25 19:10
3.1K
trippy-bash-completion-0.12.0-r0.apk
2024-12-12 19:33
3.1K
cpufetch-doc-1.06-r0.apk
2024-10-25 19:08
3.1K
timeshift-doc-24.06.5-r0.apk
2024-12-05 20:47
3.1K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 19:10
3.1K
memdump-doc-1.01-r1.apk
2024-10-25 19:10
3.1K
kbs2-bash-completion-0.7.2-r3.apk
2024-10-25 19:09
3.1K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 19:10
3.1K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 19:11
3.1K
lotide-openrc-0.15.0-r0.apk
2024-10-25 19:09
3.1K
dwl-doc-0.7-r0.apk
2024-10-25 19:09
3.1K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 19:10
3.1K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 19:10
3.1K
harminv-dev-1.4.2-r1.apk
2024-10-25 19:09
3.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 19:10
3.1K
today-6.2.0-r0.apk
2024-10-25 19:11
3.1K
beard-0.4-r0.apk
2024-10-25 19:08
3.1K
perl-math-libm-doc-1.00-r14.apk
2024-10-25 19:10
3.1K
lsd-fish-completion-1.1.5-r0.apk
2024-10-25 19:09
3.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 19:10
3.1K
ptylie-doc-0.2-r1.apk
2024-10-25 19:10
3.1K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 19:08
3.2K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 19:10
3.2K
libdng-dev-0.1.1-r1.apk
2024-10-25 19:09
3.2K
jalv-doc-1.6.8-r1.apk
2024-10-25 19:09
3.2K
perl-bareword-filehandles-doc-0.007-r0.apk
2024-10-25 19:10
3.2K
glow-doc-2.0.0-r0.apk
2024-10-25 19:09
3.2K
perl-digest-crc-doc-0.24-r1.apk
2024-10-25 19:10
3.2K
py3-flask-gzip-0.2-r8.apk
2024-10-25 19:10
3.2K
perl-uri-redis-0.02-r0.apk
2024-10-25 19:10
3.2K
perl-string-camelcase-0.04-r2.apk
2024-10-25 19:10
3.2K
uclient-dev-20210514-r0.apk
2024-10-25 19:11
3.2K
powerctl-doc-1.1-r5.apk
2024-10-25 19:10
3.2K
witchery-0.0.3-r2.apk
2024-10-25 19:11
3.2K
xload-doc-1.1.4-r0.apk
2024-10-25 19:11
3.2K
py3-flask-headers-1.0-r9.apk
2024-10-25 19:10
3.2K
perl-flowd-doc-0.9.1-r10.apk
2024-10-25 19:10
3.2K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 19:10
3.2K
perl-datetime-format-atom-1.6.0-r0.apk
2024-10-25 19:10
3.2K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 19:10
3.2K
waylock-doc-1.3.0-r0.apk
2024-11-11 00:03
3.2K
pastel-fish-completion-0.10.0-r0.apk
2024-10-25 19:10
3.2K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 19:09
3.2K
persistent-cache-cpp-doc-1.0.7-r2.apk
2024-10-25 19:10
3.2K
kbs2-fish-completion-0.7.2-r3.apk
2024-10-25 19:09
3.2K
nitrocli-bash-completion-0.4.1-r3.apk
2024-10-25 19:10
3.2K
perl-future-asyncawait-hooks-doc-0.02-r0.apk
2024-10-25 19:10
3.2K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 19:11
3.2K
stubbyboot-1.0.2-r2.apk
2024-10-25 19:10
3.3K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 19:10
3.3K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 19:10
3.3K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 19:10
3.3K
perl-variable-disposition-0.005-r0.apk
2024-10-25 19:10
3.3K
linuxwave-doc-0.1.5-r0.apk
2024-10-25 19:09
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 19:10
3.3K
compiz-utils-0.9.14.2-r7.apk
2024-11-22 15:30
3.3K
today-doc-6.2.0-r0.apk
2024-10-25 19:11
3.3K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 19:09
3.3K
initify-0_git20171210-r1.apk
2024-10-25 19:09
3.3K
fatrace-doc-0.17.0-r0.apk
2024-10-25 19:09
3.3K
hyfetch-bash-completion-1.99.0-r1.apk
2024-10-25 19:09
3.3K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 12:12
3.3K
perl-devel-leak-doc-0.03-r13.apk
2024-10-25 19:10
3.3K
openocd-esp32-doc-0_git20230921-r5.apk
2024-10-25 19:10
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 19:10
3.3K
cocogitto-fish-completion-6.2.0-r1.apk
2024-12-12 21:08
3.3K
wlclock-doc-1.0.1-r0.apk
2024-10-25 19:11
3.3K
hub-fish-completion-2.14.2-r26.apk
2024-10-25 19:09
3.3K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 19:10
3.3K
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 19:10
3.3K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 19:11
3.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 19:10
3.3K
toml2json-doc-1.3.1-r0.apk
2024-10-25 19:11
3.3K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 19:10
3.3K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 19:10
3.3K
nuzzle-doc-1.5-r0.apk
2024-10-25 19:10
3.3K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:44
3.3K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 19:10
3.3K
ccze-dev-0.2.1-r1.apk
2024-10-25 19:08
3.3K
py3-piper-phonemize-pyc-2023.11.14.4-r5.apk
2024-10-25 19:10
3.3K
fastd-doc-22-r3.apk
2024-10-25 19:09
3.3K
pick-doc-4.0.0-r0.apk
2024-10-25 19:10
3.3K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 19:08
3.3K
netscanner-doc-0.5.1-r1.apk
2024-10-25 19:10
3.3K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 19:10
3.3K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 19:10
3.3K
laze-fish-completion-0.1.27-r0.apk
2024-12-09 16:24
3.3K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 19:10
3.3K
pasystray-doc-0.8.2-r0.apk
2024-10-25 19:10
3.3K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 19:10
3.3K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 19:10
3.3K
sentrypeer-doc-3.0.2-r0.apk
2024-10-25 19:10
3.3K
click-doc-0.5.2-r3.apk
2024-10-25 19:08
3.3K
f_scripts-f_audio-0.6-r1.apk
2024-10-25 19:09
3.3K
ssss-doc-0.5.7-r0.apk
2024-10-25 19:10
3.3K
lomiri-url-dispatcher-dev-0.1.3-r2.apk
2024-10-25 19:09
3.3K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 19:10
3.3K
trace-cmd-bash-completion-3.3.1-r0.apk
2024-11-01 17:22
3.4K
rio-terminfo-0.2.2-r0.apk
2024-11-24 22:44
3.4K
noice-doc-0.8-r1.apk
2024-10-25 19:10
3.4K
rezolus-doc-2.11.1-r3.apk
2024-10-25 19:10
3.4K
zita-resampler-dev-1.10.1-r0.apk
2024-10-25 19:11
3.4K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 19:10
3.4K
httpie-oauth-1.0.2-r9.apk
2024-10-25 19:09
3.4K
solarus-engine-doc-1.7.0-r0.apk
2024-10-25 19:10
3.4K
perl-string-crc32-doc-2.100-r4.apk
2024-10-25 19:10
3.4K
perl-ppi-xs-doc-0.910-r1.apk
2024-10-25 19:10
3.4K
perl-scalar-readonly-doc-0.03-r1.apk
2024-10-25 19:10
3.4K
lua-fn-0.1.0-r0.apk
2024-10-25 19:09
3.4K
pixiewps-doc-1.4.2-r1.apk
2024-10-25 19:10
3.4K
openocd-esp32-dev-0_git20230921-r5.apk
2024-10-25 19:10
3.4K
perl-ref-util-xs-doc-0.117-r8.apk
2024-10-25 19:10
3.4K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 19:10
3.4K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 19:10
3.4K
hurl-fish-completion-6.0.0-r0.apk
2024-12-07 20:19
3.4K
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 19:10
3.4K
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 19:10
3.4K
wl-clipboard-x11-5-r3.apk
2024-10-25 19:11
3.4K
lzfse-dev-1.0-r0.apk
2024-10-25 19:09
3.4K
openocd-esp32-udev-rules-0_git20230921-r5.apk
2024-10-25 19:10
3.4K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 19:10
3.4K
ampy-doc-1.1.0-r5.apk
2024-10-25 19:08
3.4K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 19:10
3.4K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 19:10
3.4K
lsd-zsh-completion-1.1.5-r0.apk
2024-10-25 19:09
3.4K
copyq-doc-9.1.0-r1.apk
2024-12-12 17:44
3.4K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 19:10
3.4K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 19:10
3.4K
stgit-vim-2.4.7-r1.apk
2024-10-25 19:10
3.5K
dfl-login1-dev-0.2.0-r0.apk
2024-10-25 19:08
3.5K
libuninameslist-dev-20230916-r0.apk
2024-10-25 19:09
3.5K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 19:10
3.5K
bcg729-dev-1.1.1-r0.apk
2024-10-25 19:08
3.5K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 19:10
3.5K
py3-utc-0.0.3-r9.apk
2024-10-25 19:10
3.5K
libbloom-dev-2.0-r0.apk
2024-10-25 19:09
3.5K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 19:10
3.5K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 19:10
3.5K
ijq-doc-1.1.0-r3.apk
2024-10-25 19:09
3.5K
laze-doc-0.1.27-r0.apk
2024-12-09 16:24
3.5K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 19:10
3.5K
ruby-simplecov_json_formatter-0.1.4-r0.apk
2024-10-25 19:10
3.5K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
enlighten-doc-0.9.2-r1.apk
2024-10-25 19:09
3.5K
snapper-zsh-completion-0.12.0-r0.apk
2024-11-20 00:45
3.5K
aptdec-dev-1.8.0-r0.apk
2024-10-25 19:08
3.5K
laze-zsh-completion-0.1.27-r0.apk
2024-12-09 16:24
3.5K
extrace-doc-0.9-r0.apk
2024-10-25 19:09
3.5K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 19:10
3.5K
perl-test-randomresult-0.001-r0.apk
2024-10-25 19:10
3.5K
rgxg-dev-0.1.2-r2.apk
2024-10-25 19:10
3.5K
shellinabox-openrc-2.21-r3.apk
2024-10-25 19:10
3.5K
nvtop-doc-3.1.0-r0.apk
2024-10-25 19:10
3.5K
kabmat-doc-2.7.0-r0.apk
2024-10-25 19:09
3.5K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 19:10
3.5K
fildesh-vim-0.2.0-r0.apk
2024-10-25 19:09
3.5K
twinkle-doc-1.10.3-r2.apk
2024-10-25 19:11
3.6K
perl-check-unitcheck-doc-0.13-r1.apk
2024-10-25 19:10
3.6K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 19:10
3.6K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 19:10
3.6K
opensmtpd-filter-dkimsign-doc-0.6-r1.apk
2024-10-25 19:10
3.6K
py3-allfiles-1.0-r8.apk
2024-10-25 19:10
3.6K
steamguard-cli-zsh-completion-0.9.6-r0.apk
2024-10-25 19:10
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 19:09
3.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 19:08
3.6K
logc-libevent-0.1.0-r0.apk
2024-10-25 19:09
3.6K
gsettings-qt-dev-0.2_git20220807-r1.apk
2024-10-25 19:09
3.6K
doasedit-1.0.7-r0.apk
2024-10-25 19:08
3.6K
perl-test-describeme-0.004-r0.apk
2024-10-25 19:10
3.6K
wireguard-go-doc-0.0.20230223-r7.apk
2024-10-25 19:11
3.6K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 19:10
3.6K
py3-flake8-todo-0.7-r7.apk
2024-10-25 19:10
3.6K
pacparser-dev-1.4.5-r1.apk
2024-10-25 19:10
3.6K
efibootguard-bash-completion-0.16-r0.apk
2024-10-25 19:09
3.6K
dfl-ipc-dev-0.2.0-r0.apk
2024-10-25 19:08
3.6K
lua-resty-upload-0.11-r0.apk
2024-10-25 19:09
3.6K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 19:09
3.6K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 19:10
3.6K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 19:10
3.6K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 19:10
3.6K
ircd-hybrid-doc-8.2.45-r1.apk
2024-10-25 19:09
3.6K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 19:10
3.6K
swappy-lang-1.5.1-r0.apk
2024-10-25 19:10
3.6K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 19:10
3.6K
otpclient-doc-4.0.2-r0.apk
2024-10-25 19:10
3.6K
swig3-doc-3.0.12-r3.apk
2024-10-25 19:10
3.6K
maddy-vim-0.7.1-r5.apk
2024-10-25 19:09
3.7K
pulseview-doc-0.4.2-r8.apk
2024-10-25 19:10
3.7K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 19:09
3.7K
ticker-zsh-completion-4.7.1-r0.apk
2024-12-14 18:09
3.7K
ouch-doc-0.5.1-r0.apk
2024-10-25 19:10
3.7K
wok-doc-3.0.0-r6.apk
2024-10-25 19:11
3.7K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 19:10
3.7K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 19:10
3.7K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 19:10
3.7K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 19:38
3.7K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 19:10
3.7K
perl-proc-guard-0.07-r4.apk
2024-10-25 19:10
3.7K
swappy-doc-1.5.1-r0.apk
2024-10-25 19:10
3.7K
rook-autotype-0.2.0-r0.apk
2024-10-25 19:10
3.7K
dropwatch-doc-1.5.4-r6.apk
2024-10-25 19:09
3.7K
wsmancli-doc-2.6.2-r0.apk
2024-10-25 19:11
3.7K
hub-zsh-completion-2.14.2-r26.apk
2024-10-25 19:09
3.7K
py3-flask-accept-pyc-0.0.6-r1.apk
2024-10-25 19:10
3.7K
perl-b-hooks-op-check-doc-0.22-r0.apk
2024-10-25 19:10
3.7K
mdp-doc-1.0.15-r1.apk
2024-10-25 19:10
3.7K
sct-2018.12.18-r1.apk
2024-10-25 19:10
3.7K
rdrview-doc-0.1.2-r0.apk
2024-10-25 19:10
3.7K
laminar-doc-1.3-r4.apk
2024-10-25 19:09
3.7K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 19:10
3.7K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 19:10
3.7K
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk
2024-10-25 19:10
3.7K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 19:10
3.7K
hexdiff-doc-0.0.53-r2.apk
2024-10-25 19:09
3.7K
ocp-indent-emacs-1.8.2-r2.apk
2024-10-25 19:10
3.7K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 19:10
3.7K
authenticator-rs-lang-0.7.5-r0.apk
2024-10-25 19:08
3.7K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 19:10
3.7K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 19:10
3.7K
gupnp-doc-1.6.7-r0.apk
2024-12-01 12:57
3.7K
perl-sort-versions-1.62-r0.apk
2024-10-25 19:10
3.7K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 19:10
3.7K
perl-term-size-doc-0.211-r4.apk
2024-10-25 19:10
3.7K
rauc-service-1.10.1-r0.apk
2024-10-25 19:10
3.7K
deblob-doc-0.9-r0.apk
2024-12-01 17:03
3.7K
tuptime-doc-5.2.4-r1.apk
2024-11-28 22:44
3.7K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 19:11
3.8K
perl-math-random-isaac-xs-doc-1.004-r8.apk
2024-10-25 19:10
3.8K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 19:10
3.8K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 19:10
3.8K
libsds-dev-2.0.0-r1.apk
2024-10-25 19:09
3.8K
finger-doc-0.5-r0.apk
2024-10-25 19:09
3.8K
perl-time-timegm-doc-0.01-r9.apk
2024-10-25 19:10
3.8K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 19:10
3.8K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 19:10
3.8K
perl-datetime-format-atom-doc-1.6.0-r0.apk
2024-10-25 19:10
3.8K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 19:10
3.8K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 19:09
3.8K
ticker-fish-completion-4.7.1-r0.apk
2024-12-14 18:09
3.8K
splitter-doc-0.3.0-r0.apk
2024-10-25 19:10
3.8K
csol-doc-1.6.0-r0.apk
2024-10-25 19:08
3.8K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 19:10
3.8K
primecount-doc-7.14-r0.apk
2024-10-25 19:10
3.9K
foolsm-doc-1.0.21-r0.apk
2024-10-25 19:09
3.9K
perl-feed-find-0.13-r0.apk
2024-10-25 19:10
3.9K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 19:10
3.9K
perl-lib-abs-0.95-r0.apk
2024-10-25 19:10
3.9K
certbot-dns-pdns-pyc-0.1.1-r0.apk
2024-10-25 19:08
3.9K
crosstool-ng-doc-1.26.0_git20240914-r0.apk
2024-10-25 19:08
3.9K
dumb_runtime_dir-1.0.4-r3.apk
2024-10-25 19:09
3.9K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 19:10
3.9K
perl-context-preserve-0.03-r4.apk
2024-10-25 19:10
3.9K
emacs-avy-embark-collect-1.0_git20240327-r0.apk
2024-10-25 19:09
3.9K
pwauth-2.3.11-r2.apk
2024-10-25 19:10
3.9K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 19:10
3.9K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 19:10
3.9K
hurl-zsh-completion-6.0.0-r0.apk
2024-12-07 20:19
3.9K
py3-stringcase-pyc-1.2.0-r8.apk
2024-10-25 19:10
3.9K
netsurf-framebuffer-doc-3.11-r0.apk
2024-10-25 19:10
3.9K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 19:10
3.9K
tncattach-doc-0.1.9-r1.apk
2024-10-25 19:11
3.9K
z-doc-1.12-r0.apk
2024-10-25 19:11
3.9K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 19:10
3.9K
mangal-fish-completion-4.0.6-r13.apk
2024-10-25 19:10
3.9K
mml-doc-1.0.0-r0.apk
2024-10-25 19:10
3.9K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 19:10
3.9K
py3-flask-components-0.1.1-r9.apk
2024-10-25 19:10
3.9K
git-bug-fish-completion-0.8.0-r14.apk
2024-10-25 19:09
3.9K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 19:10
3.9K
libiml-dev-1.0.5-r3.apk
2024-10-25 19:09
3.9K
perl-class-inner-0.200001-r5.apk
2024-10-25 19:10
3.9K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 19:10
3.9K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 19:10
4.0K
cargo-machete-doc-0.6.2-r0.apk
2024-10-25 19:08
4.0K
ko-zsh-completion-0.17.1-r0.apk
2024-12-14 16:40
4.0K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 19:10
4.0K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 19:10
4.0K
sq-zsh-completion-0.48.3-r0.apk
2024-10-25 19:10
4.0K
warpinator-nemo-1.8.6-r0.apk
2024-11-12 11:04
4.0K
kapp-zsh-completion-0.64.0-r0.apk
2024-12-11 12:04
4.0K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 19:10
4.0K
buf-zsh-completion-1.47.2-r0.apk
2024-12-14 19:38
4.0K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 19:10
4.0K
py3-luhn-0.2.0-r9.apk
2024-10-25 19:10
4.0K
regal-zsh-completion-0.29.2-r0.apk
2024-12-14 19:38
4.0K
knative-client-zsh-completion-1.16.1-r0.apk
2024-12-14 20:03
4.0K
welle-io-doc-2.6-r0.apk
2024-12-21 22:25
4.0K
opa-zsh-completion-0.70.0-r0.apk
2024-11-10 21:17
4.0K
kumactl-zsh-completion-2.8.4-r0.apk
2024-10-25 19:09
4.0K
lima-zsh-completion-1.0.2-r0.apk
2024-12-07 20:44
4.0K
stern-zsh-completion-1.31.0-r0.apk
2024-10-25 19:10
4.0K
kubeone-zsh-completion-1.9.0-r0.apk
2024-12-14 18:12
4.0K
tenv-zsh-completion-3.2.4-r2.apk
2024-10-25 19:10
4.0K
wgcf-zsh-completion-2.2.23-r0.apk
2024-12-14 16:41
4.0K
xfe-doc-1.46.2-r0.apk
2024-10-25 19:11
4.0K
zot-cli-zsh-completion-2.1.1-r0.apk
2024-12-15 19:24
4.0K
helmfile-zsh-completion-0.169.2-r0.apk
2024-12-14 17:24
4.0K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 19:10
4.0K
virtctl-zsh-completion-1.4.0-r0.apk
2024-12-14 18:09
4.0K
argocd-zsh-completion-2.13.1-r0.apk
2024-12-11 21:06
4.0K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 19:10
4.0K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 19:10
4.0K
virter-zsh-completion-0.28.1-r0.apk
2024-12-14 19:38
4.0K
k3sup-zsh-completion-0.13.6-r0.apk
2024-10-25 19:09
4.0K
kbs2-zsh-completion-0.7.2-r3.apk
2024-10-25 19:09
4.0K
cowsay-doc-3.04-r2.apk
2024-10-25 19:08
4.0K
git-bug-zsh-completion-0.8.0-r14.apk
2024-10-25 19:09
4.0K
hare-scfg-0.24.2-r1.apk
2024-11-28 16:16
4.0K
mangal-zsh-completion-4.0.6-r13.apk
2024-10-25 19:10
4.0K
sing-box-zsh-completion-1.10.3-r0.apk
2024-12-10 09:39
4.0K
primesieve-doc-12.6-r0.apk
2024-12-14 18:12
4.0K
perl-uri-nested-0.10-r0.apk
2024-10-25 19:10
4.0K
seed7-vim-05.20240322-r0.apk
2024-10-25 19:10
4.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 19:10
4.0K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 19:11
4.0K
otrs-apache2-6.0.48-r2.apk
2024-10-25 19:10
4.0K
megatools-bash-completion-1.11.1.20241028-r0.apk
2024-10-29 19:34
4.0K
shfm-0.4.2-r1.apk
2024-10-25 19:10
4.0K
cilium-cli-zsh-completion-0.16.13-r0.apk
2024-10-25 19:08
4.0K
glow-zsh-completion-2.0.0-r0.apk
2024-10-25 19:09
4.0K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 19:10
4.0K
admesh-dev-0.98.5-r0.apk
2024-10-25 19:08
4.0K
upterm-zsh-completion-0.14.3-r0.apk
2024-10-25 19:11
4.0K
mcqd-dev-1.0.0-r1.apk
2024-10-25 19:10
4.0K
hubble-cli-zsh-completion-0.13.6-r0.apk
2024-10-25 19:09
4.0K
kubepug-zsh-completion-1.7.1-r5.apk
2024-10-25 19:09
4.0K
tetragon-client-zsh-completion-1.1.2-r0.apk
2024-10-25 19:10
4.0K
zita-resampler-doc-1.10.1-r0.apk
2024-10-25 19:11
4.1K
perl-lv-doc-0.006-r0.apk
2024-10-25 19:10
4.1K
py3-logtop-pyc-0.7-r0.apk
2024-10-25 19:10
4.1K
bomctl-zsh-completion-0.1.9-r1.apk
2024-10-25 19:08
4.1K
ruby-simplecov-doc-0.22.0-r0.apk
2024-10-25 19:10
4.1K
heh-doc-0.6.1-r0.apk
2024-10-25 19:09
4.1K
colormake-0.9.20170221-r0.apk
2024-10-25 19:08
4.1K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 19:10
4.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 19:10
4.1K
perl-lv-0.006-r0.apk
2024-10-25 19:10
4.1K
perl-test-redisserver-doc-0.23-r0.apk
2024-10-25 19:10
4.1K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 19:10
4.1K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 22:44
4.1K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 19:10
4.1K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 19:10
4.1K
perl-future-queue-0.52-r0.apk
2024-10-25 19:10
4.1K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 19:10
4.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 19:10
4.1K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 19:10
4.1K
way-displays-doc-1.8.1-r2.apk
2024-10-25 19:11
4.1K
alsa-ucm-conf-asahi-5-r0.apk
2024-10-25 19:08
4.1K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 19:10
4.1K
agrep-doc-0.8.0-r2.apk
2024-10-25 19:08
4.1K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 19:10
4.1K
cgo-doc-0.6.1-r1.apk
2024-10-25 19:08
4.1K
dfl-applications-dev-0.2.0-r0.apk
2024-10-25 19:08
4.1K
dfl-sni-dev-0.2.0-r0.apk
2024-10-25 19:08
4.1K
mint-y-theme-2.1.1-r0.apk
2024-10-25 19:10
4.1K
w_scan2-doc-1.0.15-r0.apk
2024-10-25 19:11
4.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 19:10
4.1K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 19:10
4.1K
makeclapman-doc-2.4.1-r3.apk
2024-10-25 19:09
4.1K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 19:10
4.1K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 19:10
4.1K
ovn-openrc-24.03.1-r0.apk
2024-10-25 19:10
4.1K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 19:10
4.2K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 19:10
4.2K
igrep-doc-1.2.0-r0.apk
2024-10-25 19:09
4.2K
checkpolicy-doc-3.6-r0.apk
2024-10-25 19:08
4.2K
rauc-doc-1.10.1-r0.apk
2024-10-25 19:10
4.2K
perl-ev-hiredis-doc-0.07-r1.apk
2024-10-25 19:10
4.2K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 19:11
4.2K
uxn-doc-1.0-r0.apk
2024-10-25 19:11
4.2K
perl-sentinel-doc-0.07-r1.apk
2024-10-25 19:10
4.2K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 19:10
4.2K
a2jmidid-doc-9-r3.apk
2024-10-25 19:08
4.2K
hikari-unlocker-2.3.3-r6.apk
2024-10-25 19:09
4.2K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 19:10
4.2K
lutgen-doc-0.11.2-r0.apk
2024-10-25 19:09
4.2K
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk
2024-10-25 19:10
4.2K
xisxwayland-2-r1.apk
2024-10-25 19:11
4.2K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 19:10
4.2K
perl-file-mmagic-xs-doc-0.09008-r4.apk
2024-10-25 19:10
4.2K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 19:10
4.2K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 19:10
4.2K
flightgear-bash-completion-2020.3.19-r1.apk
2024-10-25 19:09
4.2K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:05
4.2K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 19:09
4.2K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 19:10
4.2K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 19:10
4.2K
perl-log-message-simple-0.10-r3.apk
2024-10-25 19:10
4.2K
nwg-panel-doc-0.9.58-r0.apk
2024-12-20 20:12
4.2K
tnef-doc-1.4.18-r0.apk
2024-10-25 19:11
4.2K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 19:10
4.2K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 19:11
4.2K
ko-fish-completion-0.17.1-r0.apk
2024-12-14 16:40
4.2K
sq-fish-completion-0.48.3-r0.apk
2024-10-25 19:10
4.2K
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 19:08
4.2K
kapp-fish-completion-0.64.0-r0.apk
2024-12-11 12:04
4.2K
perl-devel-refcount-doc-0.10-r1.apk
2024-10-25 19:10
4.2K
repology-cli-doc-1.4.0-r0.apk
2024-12-22 07:00
4.2K
libdng-doc-0.1.1-r1.apk
2024-10-25 19:09
4.2K
buf-fish-completion-1.47.2-r0.apk
2024-12-14 19:38
4.2K
perl-test-api-doc-0.010-r2.apk
2024-10-25 19:10
4.2K
knative-client-fish-completion-1.16.1-r0.apk
2024-12-14 20:03
4.2K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 19:10
4.2K
colorpicker-0_git20201128-r1.apk
2024-10-25 19:08
4.3K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 19:10
4.3K
gmenuharness-dev-0.1.4-r1.apk
2024-10-25 19:09
4.3K
opa-fish-completion-0.70.0-r0.apk
2024-11-10 21:17
4.3K
zot-cli-fish-completion-2.1.1-r0.apk
2024-12-15 19:24
4.3K
wgcf-fish-completion-2.2.23-r0.apk
2024-12-14 16:41
4.3K
stern-fish-completion-1.31.0-r0.apk
2024-10-25 19:10
4.3K
tenv-fish-completion-3.2.4-r2.apk
2024-10-25 19:10
4.3K
regal-fish-completion-0.29.2-r0.apk
2024-12-14 19:38
4.3K
kumactl-fish-completion-2.8.4-r0.apk
2024-10-25 19:09
4.3K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 19:10
4.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 19:10
4.3K
lima-fish-completion-1.0.2-r0.apk
2024-12-07 20:44
4.3K
virter-fish-completion-0.28.1-r0.apk
2024-12-14 19:38
4.3K
k3sup-fish-completion-0.13.6-r0.apk
2024-10-25 19:09
4.3K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 19:10
4.3K
virtctl-fish-completion-1.4.0-r0.apk
2024-12-14 18:09
4.3K
helmfile-fish-completion-0.169.2-r0.apk
2024-12-14 17:24
4.3K
logc-czmq-0.1.0-r0.apk
2024-10-25 19:09
4.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 19:10
4.3K
qpdfview-doc-0.5-r1.apk
2024-10-25 19:10
4.3K
pash-2.3.0-r2.apk
2024-10-25 19:10
4.3K
libaudec-dev-0.3.4-r3.apk
2024-10-25 19:09
4.3K
perl-musicbrainz-discid-doc-0.06-r1.apk
2024-10-25 19:10
4.3K
scrypt-doc-1.3.2-r0.apk
2024-10-25 19:10
4.3K
sing-box-fish-completion-1.10.3-r0.apk
2024-12-10 09:39
4.3K
rankwidth-static-0.9-r3.apk
2024-10-25 19:10
4.3K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 19:09
4.3K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 19:10
4.3K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 19:10
4.3K
kanister-tools-zsh-completion-0.112.0-r0.apk
2024-10-25 19:09
4.3K
xsane-doc-0.999-r2.apk
2024-10-25 19:11
4.3K
glow-fish-completion-2.0.0-r0.apk
2024-10-25 19:09
4.3K
cargo-shuttle-bash-completion-0.48.3-r0.apk
2024-10-25 19:08
4.3K
cilium-cli-fish-completion-0.16.13-r0.apk
2024-10-25 19:08
4.3K
hubble-cli-fish-completion-0.13.6-r0.apk
2024-10-25 19:09
4.3K
tetragon-client-fish-completion-1.1.2-r0.apk
2024-10-25 19:10
4.3K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 19:09
4.3K
kubepug-fish-completion-1.7.1-r5.apk
2024-10-25 19:09
4.3K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 19:10
4.3K
uxplay-doc-1.71-r0.apk
2024-12-14 22:35
4.3K
bomctl-fish-completion-0.1.9-r1.apk
2024-10-25 19:08
4.3K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 19:10
4.4K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 19:09
4.4K
eatmemory-0.1.6-r2.apk
2024-10-25 19:09
4.4K
kompose-fish-completion-1.31.2-r5.apk
2024-10-25 19:09
4.4K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 19:09
4.4K
gambit-doc-4.9.5-r0.apk
2024-10-25 19:09
4.4K
qsynth-doc-1.0.2-r0.apk
2024-10-25 19:10
4.4K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 19:10
4.4K
netsurf-doc-3.11-r0.apk
2024-10-25 19:10
4.4K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 19:10
4.4K
py3-infinity-1.5-r6.apk
2024-10-25 19:10
4.4K
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
2024-10-25 19:10
4.4K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 19:10
4.4K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 19:10
4.4K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 19:10
4.4K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 19:10
4.4K
ruby-simplecov-html-0.13.1-r0.apk
2024-10-25 19:10
4.4K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 19:10
4.4K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 19:10
4.4K
setroot-doc-2.0.2-r1.apk
2024-10-25 19:10
4.4K
perl-datetime-format-rfc3339-1.8.0-r0.apk
2024-10-25 19:10
4.4K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 19:10
4.5K
codeberg-cli-fish-completion-0.4.6-r0.apk
2024-11-14 13:09
4.5K
zrepl-bash-completion-0.6.1-r6.apk
2024-10-25 19:11
4.5K
gr-satellites-doc-5.5.0-r4.apk
2024-12-18 12:16
4.5K
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk
2024-10-25 19:10
4.5K
libwhich-1.2.0-r0.apk
2024-10-25 19:09
4.5K
ocaml-stdlib-shims-0.3.0-r2.apk
2024-10-25 19:10
4.5K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 19:10
4.5K
libb64-2.0.0.1-r0.apk
2024-10-25 19:09
4.5K
soundconverter-doc-4.0.6-r0.apk
2024-11-12 15:33
4.5K
gufw-doc-24.04-r3.apk
2024-11-20 00:45
4.5K
yaru-common-23.10.0-r1.apk
2024-12-01 02:28
4.5K
godot-doc-4.3-r2.apk
2024-10-25 19:09
4.5K
speedtest-go-doc-1.1.5-r10.apk
2024-10-25 19:10
4.5K
wakeonlan-0.42-r0.apk
2024-10-25 19:11
4.5K
paperkey-doc-1.6-r2.apk
2024-10-25 19:10
4.5K
flauschige-uhr-0.1-r1.apk
2024-10-25 19:09
4.5K
ticker-bash-completion-4.7.1-r0.apk
2024-12-14 18:09
4.5K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
2024-10-25 19:10
4.5K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 19:10
4.5K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 19:10
4.5K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 19:10
4.5K
iprange-doc-1.0.4-r1.apk
2024-10-25 19:09
4.5K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 19:09
4.5K
perl-text-brew-0.02-r5.apk
2024-10-25 19:10
4.5K
base64c-0.2.1-r0.apk
2024-10-25 19:08
4.5K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 19:10
4.5K
libraqm-dev-0.10.2-r0.apk
2024-10-25 19:09
4.5K
fuzzylite-6.0-r0.apk
2024-10-25 19:09
4.5K
slidge-doc-0.1.3-r0.apk
2024-10-25 19:10
4.5K
tomcat9-openrc-9.0.97-r0.apk
2024-11-20 00:46
4.5K
manticore-dev-6.3.8-r0.apk
2024-12-04 11:57
4.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 19:09
4.6K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 19:10
4.6K
perl-signature-attribute-checked-doc-0.06-r0.apk
2024-10-25 19:10
4.6K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 19:10
4.6K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 19:08
4.6K
envsubst-0.1-r1.apk
2024-10-25 19:09
4.6K
apache2-mod-realdoc-1-r1.apk
2024-10-25 19:08
4.6K
hub-bash-completion-2.14.2-r26.apk
2024-10-25 19:09
4.6K
youki-dbg-0.4.1-r0.apk
2024-10-25 19:11
4.6K
fnf-doc-0.1-r0.apk
2024-10-25 19:09
4.6K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 19:10
4.6K
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 19:11
4.6K
z-1.12-r0.apk
2024-10-25 19:11
4.6K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 19:10
4.6K
py3-cjkwrap-2.2-r4.apk
2024-10-25 19:10
4.6K
sentinel-proxy-dev-2.1.0-r0.apk
2024-10-25 19:10
4.6K
ry-0.5.2-r1.apk
2024-10-25 19:10
4.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 19:10
4.6K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 19:10
4.6K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 19:08
4.6K
surf-doc-2.1-r3.apk
2024-10-25 19:10
4.6K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 19:10
4.6K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 19:10
4.6K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 19:08
4.7K
eboard-doc-1.1.3-r1.apk
2024-10-25 19:09
4.7K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 19:10
4.7K
haredo-doc-1.0.5-r1.apk
2024-11-28 16:16
4.7K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-20 00:45
4.7K
trippy-zsh-completion-0.12.0-r0.apk
2024-12-12 19:33
4.7K
quodlibet-bash-completion-4.6.0-r1.apk
2024-10-25 19:10
4.7K
findtow-0.1-r0.apk
2024-10-25 19:09
4.7K
odin-doc-0.2024.12-r0.apk
2024-12-07 20:25
4.7K
perl-template-tiny-doc-1.14-r0.apk
2024-12-15 10:09
4.7K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 19:10
4.7K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 19:10
4.7K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 19:10
4.7K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 19:10
4.7K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 19:10
4.7K
i2util-doc-4.2.1-r1.apk
2024-10-25 19:09
4.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 19:10
4.7K
ansiweather-1.19.0-r1.apk
2024-10-25 19:08
4.7K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 19:10
4.7K
jsmn-1.1.0-r2.apk
2024-10-25 19:09
4.7K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 19:08
4.7K
perl-perlio-locale-0.10-r12.apk
2024-10-25 19:10
4.7K
snore-0.3.1-r0.apk
2024-10-25 19:10
4.7K
ruby-build-doc-20241213-r0.apk
2024-12-17 20:28
4.7K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 19:10
4.7K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:10
4.7K
xmag-doc-1.0.8-r0.apk
2024-10-25 19:11
4.7K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:10
4.7K
sc-im-doc-0.8.4-r0.apk
2024-10-25 19:10
4.7K
libuecc-dev-7-r3.apk
2024-10-25 19:09
4.8K
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk
2024-10-25 19:10
4.8K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 19:10
4.8K
pdf2svg-0.2.3-r1.apk
2024-10-25 19:10
4.8K
perl-test-requires-git-1.008-r0.apk
2024-10-25 19:10
4.8K
hx-doc-1.0.15-r0.apk
2024-10-25 19:09
4.8K
py3-stringcase-1.2.0-r8.apk
2024-10-25 19:10
4.8K
sstp-client-doc-1.0.20-r1.apk
2024-12-01 17:03
4.8K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 19:10
4.8K
caps2esc-0.3.2-r0.apk
2024-10-25 19:08
4.8K
kanister-tools-fish-completion-0.112.0-r0.apk
2024-10-25 19:09
4.8K
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk
2024-10-25 19:08
4.8K
libcork-tools-0.15.0-r7.apk
2024-10-25 19:09
4.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 19:10
4.8K
codeberg-cli-bash-completion-0.4.6-r0.apk
2024-11-14 13:09
4.9K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 19:10
4.9K
perl-test-utf8-doc-1.03-r0.apk
2024-11-20 00:45
4.9K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 19:10
4.9K
ecm-dev-7.0.5-r1.apk
2024-10-25 19:09
4.9K
pastel-zsh-completion-0.10.0-r0.apk
2024-10-25 19:10
4.9K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 19:10
4.9K
horizon-dev-0.9.6-r9.apk
2024-10-25 19:09
4.9K
ngs-vim-0.2.14-r0.apk
2024-10-25 19:10
4.9K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 19:10
4.9K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 19:10
4.9K
catcodec-doc-1.0.5-r2.apk
2024-10-25 19:08
4.9K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 19:10
4.9K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 19:10
4.9K
xfd-doc-1.1.4-r0.apk
2024-10-25 19:11
4.9K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 19:10
4.9K
openslide-doc-3.4.1-r3.apk
2024-10-25 19:10
4.9K
perl-test-settings-0.003-r0.apk
2024-10-25 19:10
4.9K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 19:10
5.0K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 19:10
5.0K
perl-linux-pid-0.04-r13.apk
2024-10-25 19:10
5.0K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 19:10
5.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 19:10
5.0K
git-revise-doc-0.7.0-r5.apk
2024-10-25 19:09
5.0K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 19:10
5.0K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 19:10
5.0K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 19:10
5.0K
ko-bash-completion-0.17.1-r0.apk
2024-12-14 16:40
5.0K
perl-test-redisserver-0.23-r0.apk
2024-10-25 19:10
5.0K
sq-bash-completion-0.48.3-r0.apk
2024-10-25 19:10
5.0K
mangal-bash-completion-4.0.6-r13.apk
2024-10-25 19:10
5.0K
py3-flask-accept-0.0.6-r1.apk
2024-10-25 19:10
5.0K
libopensmtpd-doc-0.7-r0.apk
2024-10-25 19:09
5.0K
py3-sphinxcontrib-slide-1.0.0-r3.apk
2024-10-25 19:10
5.0K
zot-cli-bash-completion-2.1.1-r0.apk
2024-12-15 19:24
5.0K
py3-ask-0.0.8-r8.apk
2024-10-25 19:10
5.0K
regal-bash-completion-0.29.2-r0.apk
2024-12-14 19:38
5.0K
wgcf-bash-completion-2.2.23-r0.apk
2024-12-14 16:41
5.0K
opa-bash-completion-0.70.0-r0.apk
2024-11-10 21:17
5.0K
tenv-bash-completion-3.2.4-r2.apk
2024-10-25 19:10
5.0K
virter-bash-completion-0.28.1-r0.apk
2024-12-14 19:38
5.0K
k3sup-bash-completion-0.13.6-r0.apk
2024-10-25 19:09
5.0K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk
2024-11-21 13:31
5.0K
ckb-next-dev-0.6.0-r1.apk
2024-10-25 19:08
5.0K
perl-algorithm-permute-doc-0.17-r0.apk
2024-10-25 19:10
5.0K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 19:10
5.0K
mailctl-doc-0.9.2-r0.apk
2024-10-25 19:09
5.0K
glow-bash-completion-2.0.0-r0.apk
2024-10-25 19:09
5.1K
linux-timemachine-1.3.2-r0.apk
2024-10-25 19:09
5.1K
lima-bash-completion-1.0.2-r0.apk
2024-12-07 20:44
5.1K
cilium-cli-bash-completion-0.16.13-r0.apk
2024-10-25 19:08
5.1K
perl-multidimensional-0.014-r0.apk
2024-10-25 19:10
5.1K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 19:11
5.1K
virtctl-bash-completion-1.4.0-r0.apk
2024-12-14 18:09
5.1K
hubble-cli-bash-completion-0.13.6-r0.apk
2024-10-25 19:09
5.1K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 19:08
5.1K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 19:10
5.1K
tetragon-client-bash-completion-1.1.2-r0.apk
2024-10-25 19:10
5.1K
helmfile-bash-completion-0.169.2-r0.apk
2024-12-14 17:24
5.1K
sing-box-bash-completion-1.10.3-r0.apk
2024-12-10 09:39
5.1K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 19:10
5.1K
perl-test-api-0.010-r2.apk
2024-10-25 19:10
5.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 19:10
5.1K
wmctrl-doc-1.07-r1.apk
2024-10-25 19:11
5.1K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 19:10
5.1K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 19:10
5.1K
lxd-feature-bash-completion-5.20-r6.apk
2024-10-25 19:09
5.1K
kubepug-bash-completion-1.7.1-r5.apk
2024-10-25 19:09
5.1K
bomctl-bash-completion-0.1.9-r1.apk
2024-10-25 19:08
5.1K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 19:10
5.1K
kine-doc-0.10.1-r8.apk
2024-10-25 19:09
5.1K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 19:10
5.1K
lomiri-thumbnailer-dev-3.0.3-r2.apk
2024-10-25 19:09
5.1K
perl-url-encode-0.03-r4.apk
2024-10-25 19:10
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 19:10
5.2K
paperde-dev-0.2.1-r2.apk
2024-10-25 19:10
5.2K
perl-anyevent-future-0.05-r0.apk
2024-10-25 19:10
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 19:10
5.2K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 19:10
5.2K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 19:10
5.2K
perl-template-tiny-1.14-r0.apk
2024-12-15 10:09
5.2K
php81-ctype-8.1.31-r0.apk
2024-11-20 02:36
5.2K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 19:10
5.2K
tre-dev-0.8.0-r2.apk
2024-10-25 19:11
5.2K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 19:10
5.2K
rankwidth-libs-0.9-r3.apk
2024-10-25 19:10
5.2K
git-bug-bash-completion-0.8.0-r14.apk
2024-10-25 19:09
5.2K
perl-number-misc-1.2-r5.apk
2024-10-25 19:10
5.2K
minimodem-doc-0.24-r1.apk
2024-10-25 19:10
5.2K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 19:10
5.2K
ruby-base64-0.2.0-r0.apk
2024-10-25 19:10
5.2K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 19:10
5.2K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 19:10
5.2K
perl-path-iter-0.2-r3.apk
2024-10-25 19:10
5.2K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 19:10
5.2K
perl-string-compare-constanttime-doc-0.321-r6.apk
2024-10-25 19:10
5.2K
sydbox-vim-3.21.3-r0.apk
2024-10-25 19:10
5.2K
gamemode-dev-0_git20240327-r0.apk
2024-10-25 19:09
5.2K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 19:11
5.2K
py3-cjkwrap-pyc-2.2-r4.apk
2024-10-25 19:10
5.2K
calibre-bash-completion-7.23.0-r0.apk
2024-12-21 03:30
5.2K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 19:10
5.2K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 19:08
5.2K
mobpass-pyc-0.2-r6.apk
2024-10-25 19:10
5.2K
json2tsv-doc-1.2-r0.apk
2024-10-25 19:09
5.2K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 19:10
5.3K
perl-guard-doc-1.023-r9.apk
2024-10-25 19:10
5.3K
edward-doc-1.1.0-r0.apk
2024-10-25 19:09
5.3K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 19:10
5.3K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 19:10
5.3K
xmp-doc-4.2.0-r0.apk
2024-10-25 19:11
5.3K
lua-resty-redis-0.29-r0.apk
2024-10-25 19:09
5.3K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 19:10
5.3K
perl-net-async-redis-xs-doc-1.001-r1.apk
2024-10-25 19:10
5.3K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 19:10
5.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 19:10
5.3K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 19:10
5.3K
moccasin-doc-0.1.3-r0.apk
2024-10-25 19:10
5.3K
par2cmdline-turbo-doc-1.2.0-r0.apk
2024-12-10 16:35
5.3K
perl-sys-syscall-0.25-r10.apk
2024-10-25 19:10
5.4K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 19:10
5.4K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 19:10
5.4K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 19:10
5.4K
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 19:10
5.4K
logc-config-0.5.0-r0.apk
2024-10-25 19:09
5.4K
base64c-dev-0.2.1-r0.apk
2024-10-25 19:08
5.4K
py3-dbus-fast-doc-2.24.4-r0.apk
2024-11-20 00:45
5.4K
ghq-doc-1.7.1-r0.apk
2024-12-07 20:23
5.4K
lomiri-action-api-dev-1.1.3-r1.apk
2024-10-25 19:09
5.4K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 19:10
5.4K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-10-25 19:10
5.4K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 19:09
5.4K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 19:10
5.4K
perl-git-version-compare-1.005-r0.apk
2024-10-25 19:10
5.4K
serialdv-dev-1.1.4-r0.apk
2024-10-25 19:10
5.4K
pfetch-doc-1.6.0-r0.apk
2024-11-02 10:14
5.4K
spvm-mime-base64-doc-1.001003-r1.apk
2024-10-25 19:10
5.4K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 19:10
5.4K
cargo-shuttle-fish-completion-0.48.3-r0.apk
2024-10-25 19:08
5.4K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 19:10
5.4K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 19:10
5.4K
flightgear-zsh-completion-2020.3.19-r1.apk
2024-10-25 19:09
5.4K
libunicode-doc-0.6.0-r1.apk
2024-12-14 19:38
5.4K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 19:10
5.4K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 19:10
5.4K
tiny-doc-0.12.0-r0.apk
2024-10-25 19:11
5.4K
boxed-cpp-doc-1.4.3-r0.apk
2024-10-25 19:08
5.4K
perl-conf-libconfig-doc-1.0.3-r0.apk
2024-10-25 19:10
5.4K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 19:10
5.4K
vbindiff-doc-3.0_beta5-r1.apk
2024-10-25 19:11
5.4K
argocd-doc-2.13.1-r0.apk
2024-12-11 21:06
5.4K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 19:09
5.5K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 19:10
5.5K
kubeseal-doc-0.27.3-r0.apk
2024-12-07 03:40
5.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 19:10
5.5K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 19:10
5.5K
perl-net-irr-0.10-r0.apk
2024-10-25 19:10
5.5K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 19:10
5.5K
kanister-tools-bash-completion-0.112.0-r0.apk
2024-10-25 19:09
5.5K
luksmeta-doc-9-r0.apk
2024-10-25 19:09
5.5K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 19:09
5.5K
autoconf-policy-0.1-r0.apk
2024-10-25 19:08
5.5K
perl-scalar-readonly-0.03-r1.apk
2024-10-25 19:10
5.5K
lockrun-1.1.3-r1.apk
2024-10-25 19:09
5.5K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 19:10
5.5K
perl-list-keywords-doc-0.11-r0.apk
2024-10-25 19:10
5.5K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 19:10
5.5K
yamlfmt-doc-0.12.1-r1.apk
2024-10-25 19:11
5.5K
php82-snappy-0.2.1-r1.apk
2024-10-25 19:10
5.5K
upterm-bash-completion-0.14.3-r0.apk
2024-10-25 19:11
5.5K
wol-doc-0.7.1-r3.apk
2024-10-25 19:11
5.5K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 19:10
5.5K
herbe-1.0.0-r0.apk
2024-10-25 19:09
5.5K
qperf-doc-0.4.11-r1.apk
2024-10-25 19:10
5.5K
mrsh-0_git20210518-r1.apk
2024-10-25 19:10
5.5K
tick-doc-1.2.1-r0.apk
2024-10-25 19:11
5.5K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 19:09
5.5K
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
2024-10-25 19:10
5.5K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 19:10
5.6K
bgs-0.8-r1.apk
2024-10-25 19:08
5.6K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 19:10
5.6K
macchina-doc-6.1.8-r1.apk
2024-10-25 19:09
5.6K
py3-rst-0.1-r9.apk
2024-10-25 19:10
5.6K
tayga-doc-0.9.2-r0.apk
2024-10-25 19:10
5.6K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 19:10
5.6K
perl-test-utf8-1.03-r0.apk
2024-11-20 00:45
5.6K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 19:10
5.6K
fpp-doc-0.9.5-r0.apk
2024-10-25 19:09
5.6K
kompose-bash-completion-1.31.2-r5.apk
2024-10-25 19:09
5.6K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 19:09
5.6K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
2024-10-25 19:10
5.6K
neard-doc-0.19-r0.apk
2024-10-25 19:10
5.6K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 19:10
5.6K
py3-flask-markdown-0.3-r8.apk
2024-10-25 19:10
5.6K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 19:10
5.6K
libhwpwm-0.4.4-r0.apk
2024-10-25 19:09
5.6K
ocaml-tophide-1.0.4-r2.apk
2024-10-25 19:10
5.6K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 19:10
5.7K
cargo-expand-doc-1.0.94-r0.apk
2024-11-05 13:11
5.7K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 19:10
5.7K
sane-airscan-doc-0.99.29-r0.apk
2024-10-25 19:10
5.7K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 19:10
5.7K
perl-aliased-0.34-r4.apk
2024-10-25 19:10
5.7K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 19:10
5.7K
rankwidth-0.9-r3.apk
2024-10-25 19:10
5.7K
harminv-doc-1.4.2-r1.apk
2024-10-25 19:09
5.7K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 19:10
5.7K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 19:09
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 19:10
5.7K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 19:10
5.7K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 19:09
5.7K
perl-object-array-0.060-r0.apk
2024-10-25 19:10
5.7K
git2json-pyc-0.2.3-r8.apk
2024-10-25 19:09
5.7K
perl-lwp-online-doc-1.08-r0.apk
2024-10-25 19:10
5.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 19:10
5.7K
walk-sor-0_git20190920-r1.apk
2024-10-25 19:11
5.7K
turnstile-doc-0.1.10-r3.apk
2024-10-25 19:11
5.7K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 19:10
5.7K
materia-chromium-20210322-r1.apk
2024-10-25 19:10
5.7K
materia-compact-chromium-20210322-r1.apk
2024-10-25 19:10
5.7K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 19:09
5.7K
materia-dark-chromium-20210322-r1.apk
2024-10-25 19:10
5.7K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 19:10
5.7K
termbox-dev-1.1.2-r1.apk
2024-10-25 19:10
5.7K
perl-xml-rpc-2.1-r0.apk
2024-10-25 19:10
5.7K
materia-dark-compact-chromium-20210322-r1.apk
2024-10-25 19:10
5.7K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 19:10
5.7K
utop-doc-2.9.1-r4.apk
2024-10-25 19:11
5.7K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 19:10
5.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 19:08
5.8K
stern-bash-completion-1.31.0-r0.apk
2024-10-25 19:10
5.8K
git-cola-doc-4.10.1-r0.apk
2024-12-15 19:24
5.8K
memdump-1.01-r1.apk
2024-10-25 19:10
5.8K
vector-doc-0.39.0-r0.apk
2024-10-25 19:11
5.8K
spvm-thread-doc-0.002-r0.apk
2024-11-25 08:04
5.8K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 19:10
5.8K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 19:10
5.8K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 19:09
5.8K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 19:10
5.8K
sq-doc-0.48.3-r0.apk
2024-10-25 19:10
5.8K
perl-syntax-operator-in-doc-0.10-r0.apk
2024-10-25 19:10
5.8K
dbus-broker-doc-36-r0.apk
2024-10-25 19:08
5.8K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 19:10
5.8K
startup-dev-2.0.3-r5.apk
2024-10-25 19:10
5.8K
py3-jaraco.logging-pyc-3.3.0-r0.apk
2024-10-25 19:10
5.8K
dex-doc-0.9.0-r1.apk
2024-10-25 19:08
5.8K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 19:09
5.9K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 19:10
5.9K
py3-grequests-pyc-0.7.0-r2.apk
2024-10-25 19:10
5.9K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 19:10
5.9K
deadbeef-soxr-20180801-r0.apk
2024-10-25 19:08
5.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 19:10
5.9K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 19:10
5.9K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 19:10
5.9K
perl-term-size-0.211-r4.apk
2024-10-25 19:10
5.9K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 19:10
5.9K
perl-ppi-xs-0.910-r1.apk
2024-10-25 19:10
5.9K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 19:10
5.9K
spvm-errno-doc-0.092-r1.apk
2024-10-25 19:10
5.9K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 19:10
6.0K
php81-sysvsem-8.1.31-r0.apk
2024-11-20 02:36
6.0K
ruby-docile-1.4.1-r0.apk
2024-10-25 19:10
6.0K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 19:10
6.0K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 19:10
6.0K
lua5.2-psl-0.3-r0.apk
2024-10-25 19:09
6.0K
php81-gettext-8.1.31-r0.apk
2024-11-20 02:36
6.0K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:06
6.0K
lua5.3-psl-0.3-r0.apk
2024-10-25 19:09
6.0K
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
6.0K
f_scripts-f_phone-0.6-r1.apk
2024-10-25 19:09
6.0K
hdf4-doc-4.2.15-r2.apk
2024-10-25 19:09
6.0K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 19:10
6.0K
pcsc-tools-doc-1.7.2-r0.apk
2024-10-25 19:10
6.0K
py3-jaraco.logging-3.3.0-r0.apk
2024-10-25 19:10
6.0K
mdcat-doc-2.7.1-r0.apk
2024-12-14 18:04
6.0K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 19:10
6.0K
perl-net-patricia-doc-1.22-r12.apk
2024-10-25 19:10
6.0K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 19:10
6.0K
mint-x-theme-metacity-2.1.1-r0.apk
2024-10-25 19:10
6.1K
spacectl-fish-completion-1.0.0-r1.apk
2024-10-25 19:10
6.1K
py3-class-doc-1.25-r1.apk
2024-10-25 19:10
6.1K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 19:09
6.1K
ruby-notify-0.5.2-r0.apk
2024-10-25 19:10
6.1K
dislocker-doc-0.7.3-r5.apk
2024-10-25 19:08
6.1K
perl-bareword-filehandles-0.007-r0.apk
2024-10-25 19:10
6.1K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 19:10
6.1K
create-tauri-app-doc-4.5.8-r0.apk
2024-12-13 15:23
6.1K
py3-spinners-0.0.24-r5.apk
2024-10-25 19:10
6.1K
lua5.1-psl-0.3-r0.apk
2024-10-25 19:09
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 19:10
6.1K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 19:10
6.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 19:10
6.1K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 19:10
6.1K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 19:10
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 19:10
6.1K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 19:08
6.1K
typos-doc-1.23.2-r0.apk
2024-10-25 19:11
6.1K
spvm-math-doc-1.001-r1.apk
2024-10-25 19:10
6.1K
shfm-doc-0.4.2-r1.apk
2024-10-25 19:10
6.1K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 19:10
6.1K
perl-email-reply-1.204-r5.apk
2024-10-25 19:10
6.1K
libdng-utils-0.1.1-r1.apk
2024-10-25 19:09
6.2K
perl-lwp-online-1.08-r0.apk
2024-10-25 19:10
6.2K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:39
6.2K
pipectl-0.4.1-r1.apk
2024-10-25 19:10
6.2K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 19:10
6.2K
game-devices-udev-0.23-r0.apk
2024-11-12 10:58
6.2K
leptosfmt-doc-0.1.18-r0.apk
2024-10-25 19:09
6.2K
swhkd-doc-1.2.1-r0.apk
2024-10-25 19:10
6.2K
grip-doc-4.2.4-r0.apk
2024-10-25 19:09
6.2K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 19:10
6.2K
neofetch-doc-7.1.0-r2.apk
2024-11-07 05:26
6.2K
kannel-doc-1.5.0-r11.apk
2024-10-25 19:09
6.2K
perl-string-random-doc-0.32-r2.apk
2024-10-25 19:10
6.2K
river-shifttags-0.2.1-r0.apk
2024-10-25 19:10
6.2K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 19:10
6.2K
mlxl-0.1-r0.apk
2024-10-25 19:10
6.2K
perl-throwable-1.001-r1.apk
2024-10-25 19:10
6.2K
boxed-cpp-dev-1.4.3-r0.apk
2024-10-25 19:08
6.2K
perl-check-unitcheck-0.13-r1.apk
2024-10-25 19:10
6.2K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 19:10
6.2K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
schismtracker-doc-20231029-r0.apk
2024-10-25 19:10
6.2K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 19:10
6.3K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 19:10
6.3K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 19:10
6.3K
cargo-shuttle-zsh-completion-0.48.3-r0.apk
2024-10-25 19:08
6.3K
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 19:09
6.3K
ocp-index-emacs-1.3.6-r0.apk
2024-10-25 19:10
6.3K
py3-pbkdf2-1.3-r7.apk
2024-10-25 19:10
6.3K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 21:34
6.3K
perl-http-xsheaders-doc-0.400005-r1.apk
2024-10-25 19:10
6.3K
php81-shmop-8.1.31-r0.apk
2024-11-20 02:36
6.3K
perl-devel-refcount-0.10-r1.apk
2024-10-25 19:10
6.3K
bgpq4-doc-1.15-r0.apk
2024-10-25 19:08
6.3K
py3-click-threading-0.5.0-r5.apk
2024-10-25 19:10
6.3K
libantic-dev-0.2.5-r0.apk
2024-10-25 19:09
6.3K
perl-indirect-doc-0.39-r1.apk
2024-10-25 19:10
6.4K
codeberg-cli-zsh-completion-0.4.6-r0.apk
2024-11-14 13:09
6.4K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 19:10
6.4K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 19:10
6.4K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 19:10
6.4K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 19:10
6.4K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 19:10
6.4K
libbamf-dev-0.5.6-r1.apk
2024-10-25 19:09
6.4K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 19:10
6.4K
wlopm-0.1.0-r0.apk
2024-10-25 19:11
6.4K
rss-email-doc-0.5.0-r0.apk
2024-10-25 19:10
6.4K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 19:08
6.5K
plfit-dev-1.0.0-r0.apk
2024-11-22 04:34
6.5K
fox-utils-1.6.57-r0.apk
2024-10-25 19:09
6.5K
repgrep-doc-0.15.0-r0.apk
2024-10-25 19:10
6.5K
lsix-1.8.2-r0.apk
2024-10-25 19:09
6.5K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 19:10
6.5K
lol-html-dev-1.1.1-r1.apk
2024-10-25 19:09
6.5K
perl-syntax-operator-equ-doc-0.10-r0.apk
2024-10-25 19:10
6.5K
ocaml-mmap-dev-1.2.0-r3.apk
2024-10-25 19:10
6.5K
imediff-doc-2.6-r1.apk
2024-10-25 19:09
6.5K
upterm-doc-0.14.3-r0.apk
2024-10-25 19:11
6.5K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 19:10
6.5K
watchbind-doc-0.2.1-r1.apk
2024-10-25 19:11
6.6K
cpiped-0.1.0-r0.apk
2024-10-25 19:08
6.6K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 19:08
6.6K
py3-pymsteams-pyc-0.2.3-r1.apk
2024-10-25 19:10
6.6K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 19:10
6.6K
xcape-1.2-r0.apk
2024-10-25 19:11
6.6K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 19:08
6.6K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 19:10
6.6K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 19:09
6.6K
php81-pecl-uuid-1.2.1-r0.apk
2024-10-25 19:10
6.6K
kubeone-bash-completion-1.9.0-r0.apk
2024-12-14 18:12
6.6K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 19:10
6.6K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 19:09
6.6K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 19:10
6.6K
prometheus-ipmi-exporter-doc-1.8.0-r0.apk
2024-10-25 19:10
6.6K
apk-snap-3.1.1-r0.apk
2024-10-25 19:08
6.6K
ovos-messagebus-pyc-0.0.8-r0.apk
2024-11-25 12:41
6.6K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 19:10
6.6K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 19:10
6.7K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 19:10
6.7K
fbcur-1.0.1-r1.apk
2024-10-25 19:09
6.7K
xload-1.1.4-r0.apk
2024-10-25 19:11
6.7K
debconf-utils-1.5.82-r0.apk
2024-10-25 19:08
6.7K
perl-cairo-gobject-1.005-r4.apk
2024-10-25 19:10
6.7K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 19:10
6.7K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 19:09
6.7K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:49
6.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 19:10
6.7K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 19:10
6.7K
perl-test-files-0.26-r0.apk
2024-10-25 19:10
6.7K
gmsh-py-4.12.2-r2.apk
2024-10-25 19:09
6.7K
alarmwakeup-0.2.1-r0.apk
2024-10-25 19:08
6.7K
zarchive-dev-0.1.2-r2.apk
2024-10-25 19:11
6.8K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 19:10
6.8K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 19:10
6.8K
serialdv-1.1.4-r0.apk
2024-10-25 19:10
6.8K
kompose-zsh-completion-1.31.2-r5.apk
2024-10-25 19:09
6.8K
ustream-ssl-20220116-r1.apk
2024-10-25 19:11
6.8K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 19:10
6.8K
perl-test-file-doc-1.993-r1.apk
2024-10-25 19:10
6.8K
isoinfo-0_git20131217-r1.apk
2024-10-25 19:09
6.8K
pwauth-doc-2.3.11-r2.apk
2024-10-25 19:10
6.8K
perl-b-hooks-op-check-0.22-r0.apk
2024-10-25 19:10
6.8K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 19:10
6.8K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 19:10
6.8K
termcolor-dev-2.1.0-r0.apk
2024-10-25 19:10
6.8K
json2tsv-1.2-r0.apk
2024-10-25 19:09
6.8K
py3-grequests-0.7.0-r2.apk
2024-10-25 19:10
6.8K
qtmir-dev-0.7.2-r2.apk
2024-10-25 19:10
6.9K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:10
6.9K
py3-playsound-1.3.0-r1.apk
2024-10-25 19:10
6.9K
py3-tailer-0.4.1-r7.apk
2024-10-25 19:10
6.9K
pixi-doc-0.24.2-r0.apk
2024-10-25 19:10
6.9K
perl-cgi-expand-2.05-r4.apk
2024-10-25 19:10
6.9K
perl-x-tiny-0.22-r0.apk
2024-10-25 19:10
6.9K
perl-time-timegm-0.01-r9.apk
2024-10-25 19:10
6.9K
halp-doc-0.2.0-r0.apk
2024-10-25 19:09
6.9K
openslide-dev-3.4.1-r3.apk
2024-10-25 19:10
6.9K
py3-banal-1.0.6-r4.apk
2024-10-25 19:10
6.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 19:09
6.9K
tui-journal-doc-0.10.0-r0.apk
2024-10-25 19:11
6.9K
php81-sysvshm-8.1.31-r0.apk
2024-11-20 02:36
6.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 19:10
6.9K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 19:09
6.9K
n30f-2.0-r3.apk
2024-10-25 19:10
6.9K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 19:10
6.9K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 19:10
6.9K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 19:08
6.9K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 19:08
6.9K
py3-x-wr-timezone-pyc-2.0.0-r0.apk
2024-12-06 22:58
6.9K
perl-constant-defer-doc-6-r5.apk
2024-10-25 19:10
6.9K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 19:10
7.0K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-20 00:45
7.0K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 19:10
7.0K
perl-object-array-doc-0.060-r0.apk
2024-10-25 19:10
7.0K
py3-pytap2-2.3.0-r0.apk
2024-10-25 19:10
7.0K
nkk-doc-0_git20221010-r0.apk
2024-10-25 19:10
7.0K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 19:10
7.0K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 19:10
7.0K
oil-doc-0.21.0-r0.apk
2024-10-25 19:10
7.0K
fyi-doc-1.0.4-r0.apk
2024-10-25 19:09
7.0K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 19:09
7.0K
tmpmail-1.2.3-r2.apk
2024-10-25 19:11
7.0K
mm-1.4.2-r1.apk
2024-10-25 19:10
7.0K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 19:10
7.0K
perl-uri-fetch-0.15-r0.apk
2024-10-25 19:10
7.1K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 19:10
7.1K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 19:10
7.1K
adjtimex-doc-1.29-r0.apk
2024-10-25 19:08
7.1K
py3-logfury-pyc-1.0.1-r0.apk
2024-10-25 19:10
7.1K
perl-test-expander-2.5.1-r0.apk
2024-10-25 19:10
7.1K
boxes-doc-2.3.1-r0.apk
2024-10-25 19:08
7.1K
perl-full-1.004-r0.apk
2024-10-25 19:10
7.1K
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 03:57
7.1K
enlighten-0.9.2-r1.apk
2024-10-25 19:09
7.1K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 19:10
7.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 19:10
7.1K
perl-graphql-client-0.605-r0.apk
2024-10-25 19:10
7.1K
pmccabe-doc-2.8-r1.apk
2024-10-25 19:10
7.1K
dsp-doc-1.9-r2.apk
2024-10-25 19:09
7.2K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 19:10
7.2K
tree-sitter-vimdoc-doc-3.0.0-r1.apk
2024-11-21 20:58
7.2K
wasm-tools-doc-1.215.0-r0.apk
2024-10-25 19:11
7.2K
visidata-zsh-completion-2.11.1-r2.apk
2024-10-25 19:11
7.2K
ovos-phal-pyc-0.2.7-r0.apk
2024-11-21 13:31
7.2K
pptpclient-doc-1.10.0-r5.apk
2024-10-25 19:10
7.2K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 19:10
7.2K
perl-string-crc32-2.100-r4.apk
2024-10-25 19:10
7.2K
ecm-doc-7.0.5-r1.apk
2024-10-25 19:09
7.2K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 19:10
7.2K
perl-devel-leak-0.03-r13.apk
2024-10-25 19:10
7.2K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 19:10
7.2K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:10
7.2K
litterbox-doc-1.9-r1.apk
2024-10-25 19:09
7.2K
bchunk-1.2.2-r3.apk
2024-10-25 19:08
7.3K
bkt-doc-0.8.0-r0.apk
2024-10-25 19:08
7.3K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 19:10
7.3K
advancescan-doc-1.18-r1.apk
2024-10-25 19:08
7.3K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 19:10
7.3K
py3-async-lru-2.0.4-r1.apk
2024-10-25 19:10
7.3K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 19:10
7.3K
amiitool-2-r2.apk
2024-10-25 19:08
7.3K
keystone-dev-0.9.2-r6.apk
2024-10-25 19:09
7.3K
lizardfs-cgiserv-3.13.0-r14.apk
2024-12-04 11:57
7.3K
pinephone-call-audio-0.1-r0.apk
2024-10-25 19:10
7.3K
py3-colorthief-0.2.1-r1.apk
2024-10-25 19:10
7.3K
msgpuck-doc-2.0-r1.apk
2024-10-25 19:10
7.3K
hexer-dev-1.4.0-r16.apk
2024-11-07 23:59
7.3K
saait-0.8-r0.apk
2024-10-25 19:10
7.3K
jbigkit-doc-2.1-r2.apk
2024-10-25 19:09
7.3K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 19:10
7.3K
mint-x-icons-doc-1.7.1-r0.apk
2024-11-12 10:59
7.4K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 19:10
7.4K
py3-proglog-0.1.10-r2.apk
2024-10-25 19:10
7.4K
perl-color-rgb-util-doc-0.607-r0.apk
2024-10-25 19:10
7.4K
bananui-clock-0.1.0-r0.apk
2024-10-25 19:08
7.4K
py3-python-archive-0.2-r7.apk
2024-10-25 19:10
7.4K
py3-ntplib-0.4.0-r5.apk
2024-10-25 19:10
7.4K
perl-constant-defer-6-r5.apk
2024-10-25 19:10
7.4K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 19:10
7.4K
rsstail-2.1-r1.apk
2024-10-25 19:10
7.4K
cliquer-dev-1.22-r2.apk
2024-10-25 19:08
7.4K
libfyaml-doc-0.9-r0.apk
2024-10-25 19:09
7.4K
git2json-0.2.3-r8.apk
2024-10-25 19:09
7.4K
mesa-asahi-gbm-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
7.4K
py3-more-properties-1.1.1-r3.apk
2024-10-25 19:10
7.4K
tree-sitter-git-rebase-0_git20220110-r2.apk
2024-10-25 19:11
7.5K
pass2csv-pyc-1.1.1-r1.apk
2024-10-25 19:10
7.5K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 19:10
7.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 19:10
7.5K
cscope-doc-15.9-r1.apk
2024-10-25 19:08
7.5K
cliquer-1.22-r2.apk
2024-10-25 19:08
7.5K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 19:10
7.5K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 19:10
7.5K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 19:10
7.5K
perl-ryu-async-0.020-r0.apk
2024-10-25 19:10
7.5K
aqemu-doc-0.9.4-r3.apk
2024-10-25 19:08
7.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 19:11
7.5K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 19:10
7.5K
perl-string-compare-constanttime-0.321-r6.apk
2024-10-25 19:10
7.5K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 19:10
7.5K
perl-file-rename-2.02-r0.apk
2024-10-25 19:10
7.5K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 19:10
7.5K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 19:10
7.6K
zot-doc-2.1.1-r0.apk
2024-12-15 19:24
7.6K
py3-eradicate-2.3.0-r2.apk
2024-10-25 19:10
7.6K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 19:10
7.6K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 19:09
7.6K
py3-notifymail-1.1-r8.apk
2024-10-25 19:10
7.6K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 19:10
7.6K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 19:10
7.6K
gamemode-doc-0_git20240327-r0.apk
2024-10-25 19:09
7.6K
ffms2-dev-5.0-r0.apk
2024-10-25 19:09
7.6K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 19:10
7.6K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 19:10
7.6K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 19:10
7.6K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 19:10
7.6K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 19:10
7.6K
geomyidae-doc-0.34-r2.apk
2024-10-25 19:09
7.6K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 19:10
7.6K
perl-email-abstract-3.010-r0.apk
2024-10-25 19:10
7.6K
opkg-doc-0.7.0-r0.apk
2024-10-25 19:10
7.7K
gmic-dev-3.3.5-r1.apk
2024-11-21 23:19
7.7K
perl-sentinel-0.07-r1.apk
2024-10-25 19:10
7.7K
libbloom-2.0-r0.apk
2024-10-25 19:09
7.7K
arc-xfwm-20221218-r0.apk
2024-10-25 19:08
7.7K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 19:10
7.7K
harminv-1.4.2-r1.apk
2024-10-25 19:09
7.7K
p910nd-0.97-r2.apk
2024-10-25 19:10
7.7K
yarr-doc-2.4-r8.apk
2024-10-25 19:11
7.7K
postgresql-pg_partman-scripts-5.0.0-r0.apk
2024-10-25 19:10
7.7K
runst-doc-0.1.7-r0.apk
2024-10-25 19:10
7.7K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 19:08
7.7K
ocaml-mirage-random-3.0.0-r3.apk
2024-10-25 19:10
7.7K
py3-sstash-0.17-r9.apk
2024-10-25 19:10
7.7K
moosefs-cgiserv-3.0.117-r2.apk
2024-10-25 19:10
7.7K
mat2-doc-0.13.4-r3.apk
2024-10-25 19:10
7.7K
kmscon-doc-9.0.0-r0.apk
2024-10-25 19:09
7.7K
haxe-doc-4.3.6-r0.apk
2024-11-29 06:11
7.7K
php81-sysvmsg-8.1.31-r0.apk
2024-11-20 02:36
7.8K
perl-test-distribution-2.00-r1.apk
2024-10-25 19:10
7.8K
perl-syntax-keyword-match-doc-0.15-r0.apk
2024-10-25 19:10
7.8K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 19:11
7.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 19:10
7.8K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 19:10
7.8K
perl-math-random-isaac-xs-1.004-r8.apk
2024-10-25 19:10
7.8K
ocaml-mmap-1.2.0-r3.apk
2024-10-25 19:10
7.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 19:10
7.8K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 19:10
7.8K
perl-bsd-resource-doc-1.2911-r10.apk
2024-10-25 19:10
7.9K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 19:10
7.9K
py3-logfury-1.0.1-r0.apk
2024-10-25 19:10
7.9K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 19:10
7.9K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 19:10
7.9K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 19:08
7.9K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 05:59
7.9K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 19:08
7.9K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
care-doc-2.3.0-r1.apk
2024-10-25 19:08
7.9K
libnfc-dev-1.8.0-r1.apk
2024-10-25 19:09
7.9K
queercat-1.0.0-r0.apk
2024-10-25 19:10
7.9K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 19:10
7.9K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 19:10
7.9K
jhead-doc-3.08-r0.apk
2024-10-25 19:09
7.9K
stw-0.3-r0.apk
2024-10-25 19:10
7.9K
perl-string-random-0.32-r2.apk
2024-10-25 19:10
7.9K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 19:09
7.9K
dex-0.9.0-r1.apk
2024-10-25 19:08
7.9K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 19:10
7.9K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 19:08
7.9K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 19:10
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 19:10
7.9K
mepo-doc-1.3.3-r0.apk
2024-10-25 19:10
8.0K
libgrapheme-doc-1-r0.apk
2024-10-25 19:09
8.0K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 19:10
8.0K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 19:10
8.0K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:37
8.0K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 19:10
8.0K
boinc-doc-7.24.3-r0.apk
2024-10-25 19:08
8.0K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 19:10
8.0K
py3-simplematch-1.4-r1.apk
2024-10-25 19:10
8.0K
perl-signature-attribute-checked-0.06-r0.apk
2024-10-25 19:10
8.0K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 19:10
8.0K
perl-throwable-doc-1.001-r1.apk
2024-10-25 19:10
8.0K
ocaml-result-dev-1.5-r2.apk
2024-10-25 19:10
8.0K
nfoview-doc-2.0.1-r0.apk
2024-10-25 19:10
8.0K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 19:09
8.0K
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 19:10
8.0K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 19:11
8.0K
exabgp-doc-4.2.22-r0.apk
2024-11-30 10:47
8.0K
asahi-scripts-20240822-r0.apk
2024-10-25 19:08
8.0K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 19:10
8.1K
cdba-1.0-r2.apk
2024-10-25 19:08
8.1K
libretro-gong-0_git20220319-r0.apk
2024-10-25 19:09
8.1K
perl-list-binarysearch-xs-doc-0.09-r1.apk
2024-10-25 19:10
8.1K
perl-text-table-any-0.117-r0.apk
2024-10-25 19:10
8.1K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 19:10
8.1K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:49
8.1K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 19:10
8.1K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 19:09
8.1K
py3-ly-doc-0.9.8-r1.apk
2024-10-25 19:10
8.1K
kapp-bash-completion-0.64.0-r0.apk
2024-12-11 12:04
8.1K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 19:11
8.1K
libjodycode-3.1.1-r0.apk
2024-10-25 19:09
8.1K
lomiri-libusermetrics-dev-1.3.3-r0.apk
2024-10-25 19:09
8.1K
perl-adapter-async-0.019-r0.apk
2024-10-25 19:10
8.1K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 19:09
8.1K
py3-pygelbooru-0.5.0-r4.apk
2024-10-25 19:10
8.1K
wol-lang-0.7.1-r3.apk
2024-10-25 19:11
8.2K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 19:10
8.2K
php81-pspell-8.1.31-r0.apk
2024-11-20 02:36
8.2K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 19:09
8.2K
lgogdownloader-doc-3.12-r3.apk
2024-10-25 19:09
8.2K
buf-bash-completion-1.47.2-r0.apk
2024-12-14 19:38
8.2K
ruby-rainbow-3.1.1-r0.apk
2024-10-25 19:10
8.2K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-10-25 19:10
8.2K
perl-data-checks-doc-0.10-r0.apk
2024-10-25 19:10
8.2K
cargo-update-doc-16.0.0-r0.apk
2024-12-14 18:06
8.2K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 19:10
8.2K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 19:10
8.2K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 19:10
8.2K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 19:08
8.2K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 19:10
8.2K
wput-doc-0.6.2-r4.apk
2024-10-25 19:11
8.2K
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 19:09
8.3K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 19:10
8.3K
perl-future-asyncawait-hooks-0.02-r0.apk
2024-10-25 19:10
8.3K
disfetch-3.7-r0.apk
2024-10-25 19:08
8.3K
meson-tools-doc-0.1-r2.apk
2024-12-09 16:38
8.3K
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk
2024-10-25 19:10
8.3K
flowd-dev-0.9.1-r10.apk
2024-10-25 19:09
8.3K
agrep-0.8.0-r2.apk
2024-10-25 19:08
8.3K
perl-syntax-operator-equ-0.10-r0.apk
2024-10-25 19:10
8.3K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 19:10
8.3K
py3-junit-xml-1.9-r3.apk
2024-10-25 19:10
8.3K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 19:10
8.3K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 19:10
8.4K
pass2csv-1.1.1-r1.apk
2024-10-25 19:10
8.4K
tinycbor-dev-0.6.0-r1.apk
2024-10-25 19:11
8.4K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 19:10
8.4K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 19:11
8.4K
btpd-doc-0.16-r2.apk
2024-10-25 19:08
8.4K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 19:10
8.4K
limnoria-doc-20240828-r0.apk
2024-10-25 19:09
8.4K
php81-pecl-maxminddb-1.12.0-r0.apk
2024-11-20 00:45
8.4K
gutenprint-doc-5.3.4-r5.apk
2024-10-25 19:09
8.4K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 19:10
8.4K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 19:10
8.4K
perl-uri-db-doc-0.22-r0.apk
2024-10-25 19:10
8.4K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 19:10
8.5K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 19:10
8.5K
hare-message-0_git20240425-r0.apk
2024-11-29 12:12
8.5K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 19:09
8.5K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 19:10
8.5K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 22:44
8.5K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 19:10
8.5K
logc-0.5.0-r0.apk
2024-10-25 19:09
8.5K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 19:10
8.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 19:10
8.5K
perl-net-netmask-doc-2.0002-r2.apk
2024-10-25 19:10
8.5K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 19:10
8.5K
pounce-doc-3.1-r3.apk
2024-10-25 19:10
8.6K
finger-0.5-r0.apk
2024-10-25 19:09
8.6K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 19:10
8.6K
libcotp-3.1.0-r0.apk
2024-10-25 19:09
8.6K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 19:10
8.6K
py3-async-lru-pyc-2.0.4-r1.apk
2024-10-25 19:10
8.6K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 19:10
8.6K
hurl-doc-6.0.0-r0.apk
2024-12-07 20:19
8.6K
perl-object-pad-fieldattr-checked-0.12-r0.apk
2024-10-25 19:10
8.6K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 19:10
8.6K
py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk
2024-11-21 13:31
8.6K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 19:10
8.6K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 19:10
8.6K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 19:11
8.6K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 19:09
8.6K
perl-openapi-client-1.07-r0.apk
2024-10-25 19:10
8.6K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 19:08
8.7K
sxcs-1.1.0-r0.apk
2024-10-25 19:10
8.7K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 19:08
8.7K
daktilo-doc-0.6.0-r0.apk
2024-10-25 19:08
8.7K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:13
8.7K
fatresize-1.1.0-r1.apk
2024-10-25 19:09
8.7K
perl-promise-xs-doc-0.20-r1.apk
2024-10-25 19:10
8.7K
perl-sort-naturally-1.03-r4.apk
2024-10-25 19:10
8.7K
ruby-syslog-0.2.0-r0.apk
2024-12-14 20:03
8.7K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 19:10
8.7K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 19:10
8.7K
php81-enchant-8.1.31-r0.apk
2024-11-20 02:36
8.7K
subdl-0_git20230616-r1.apk
2024-10-25 19:10
8.7K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 19:10
8.7K
py3-certauth-1.3.0-r1.apk
2024-10-25 19:10
8.7K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 19:10
8.7K
quodlibet-doc-4.6.0-r1.apk
2024-10-25 19:10
8.7K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 19:10
8.7K
endlessh-1.1-r0.apk
2024-10-25 19:09
8.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 19:09
8.8K
perl-constant-generate-0.17-r5.apk
2024-10-25 19:10
8.8K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 19:10
8.8K
imrsh-0_git20210320-r1.apk
2024-10-25 19:09
8.8K
perl-guard-1.023-r9.apk
2024-10-25 19:10
8.8K
vcsh-2.0.5-r0.apk
2024-10-25 19:11
8.8K
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 19:10
8.8K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 19:10
8.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 19:10
8.8K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 19:10
8.8K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 19:10
8.8K
nitrocli-doc-0.4.1-r3.apk
2024-10-25 19:10
8.8K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 19:10
8.8K
ccze-doc-0.2.1-r1.apk
2024-10-25 19:08
8.8K
rofi-pass-2.0.2-r2.apk
2024-10-25 19:10
8.8K
nsnake-3.0.0-r0.apk
2024-10-25 19:10
8.8K
perl-autobox-doc-3.0.2-r0.apk
2024-10-25 19:10
8.8K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 19:09
8.8K
certbot-dns-pdns-0.1.1-r0.apk
2024-10-25 19:08
8.9K
py3-phpserialize-1.3-r8.apk
2024-10-25 19:10
8.9K
tdrop-doc-0.5.0-r0.apk
2024-10-25 19:10
8.9K
libucl-doc-0.9.0-r0.apk
2024-10-25 19:09
8.9K
py3-print-color-0.4.6-r0.apk
2024-10-25 19:10
8.9K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 19:10
8.9K
perl-test-timer-2.12-r2.apk
2024-10-25 19:10
8.9K
logc-dev-0.5.0-r0.apk
2024-10-25 19:09
8.9K
mod_dnssd-0.6-r0.apk
2024-10-25 19:10
8.9K
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk
2024-10-25 19:10
8.9K
pamtester-0.1.2-r4.apk
2024-10-25 19:10
8.9K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 19:10
8.9K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 19:10
8.9K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 19:09
9.0K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 19:10
9.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 19:10
9.0K
py3-keepalive-0.5-r5.apk
2024-10-25 19:10
9.0K
afetch-2.2.0-r1.apk
2024-10-25 19:08
9.0K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 19:10
9.0K
t2sz-1.1.2-r0.apk
2024-10-25 19:10
9.0K
fff-doc-2.2-r0.apk
2024-10-25 19:09
9.0K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 19:09
9.0K
jdupes-doc-1.28.0-r0.apk
2024-10-25 19:09
9.0K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 19:09
9.0K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 19:10
9.0K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 19:10
9.0K
md5ha1-0_git20171202-r1.apk
2024-10-25 19:10
9.0K
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk
2024-10-25 19:10
9.0K
linux-openvfd-0_git20220906-r0.apk
2024-10-25 19:09
9.0K
pspp-doc-2.0.1-r0.apk
2024-10-25 19:10
9.0K
perl-number-format-doc-1.76-r1.apk
2024-10-25 19:10
9.0K
innernet-doc-1.6.1-r0.apk
2024-10-25 19:09
9.0K
freediameter-1.5.0-r1.apk
2024-10-25 19:09
9.0K
gtk4-layer-shell-dev-1.0.4-r1.apk
2024-11-08 07:42
9.0K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 19:09
9.0K
bindfs-doc-1.17.6-r0.apk
2024-10-25 19:08
9.0K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 19:10
9.1K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 19:10
9.1K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 19:09
9.1K
gatling-doc-0.16-r6.apk
2024-10-25 19:09
9.1K
duc-doc-1.4.5-r0.apk
2024-10-25 19:09
9.1K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 19:10
9.1K
py3-dweepy-0.3.0-r7.apk
2024-10-25 19:10
9.1K
perl-musicbrainz-discid-0.06-r1.apk
2024-10-25 19:10
9.1K
perl-future-q-doc-0.120-r0.apk
2024-10-25 19:10
9.1K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 19:10
9.1K
lua-inet-0.2.0-r1.apk
2024-10-25 19:09
9.1K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 19:10
9.1K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 19:10
9.2K
py3-translationstring-1.4-r4.apk
2024-10-25 19:10
9.2K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 19:10
9.2K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 19:10
9.2K
pinentry-bemenu-0.13.1-r0.apk
2024-10-25 19:10
9.2K
horust-doc-0.1.7-r2.apk
2024-10-25 19:09
9.2K
perl-net-async-redis-xs-1.001-r1.apk
2024-10-25 19:10
9.2K
py3-tls_parser-2.0.1-r1.apk
2024-10-25 19:10
9.2K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 19:10
9.2K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 19:10
9.2K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 19:10
9.2K
ncdu2-doc-2.6-r0.apk
2024-10-25 19:10
9.2K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 19:10
9.2K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 19:10
9.2K
perl-future-http-0.17-r0.apk
2024-10-25 19:10
9.2K
catdoc-doc-0.95-r1.apk
2024-10-25 19:08
9.2K
click-dev-0.5.2-r3.apk
2024-10-25 19:08
9.3K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 19:09
9.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 19:10
9.3K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 19:10
9.3K
dsnet-doc-0.7.3-r5.apk
2024-10-25 19:09
9.3K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:05
9.3K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:10
9.3K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 19:10
9.3K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 19:10
9.3K
planarity-3.0.2.0-r2.apk
2024-10-25 19:10
9.3K
py3-levenshtein-pyc-0.26.1-r0.apk
2024-12-19 06:17
9.3K
py3-protego-0.3.1-r0.apk
2024-11-30 20:18
9.3K
uclient-fetch-20210514-r0.apk
2024-10-25 19:11
9.3K
pokoy-0.2.5-r0.apk
2024-10-25 19:10
9.3K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 19:10
9.4K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 19:10
9.4K
sflowtool-doc-6.02-r0.apk
2024-10-25 19:10
9.4K
icesprog-0_git20240108-r1.apk
2024-10-25 19:09
9.4K
perl-color-rgb-util-0.607-r0.apk
2024-10-25 19:10
9.4K
cargo-shuttle-doc-0.48.3-r0.apk
2024-10-25 19:08
9.4K
supermin-doc-5.2.2-r2.apk
2024-10-25 19:10
9.4K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 19:10
9.4K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 19:10
9.4K
brltty-doc-6.7-r0.apk
2024-10-25 19:08
9.4K
nbsdgames-doc-5-r0.apk
2024-10-25 19:10
9.4K
x11docker-doc-7.6.0-r1.apk
2024-10-25 19:11
9.4K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 19:10
9.4K
py3-natpmp-1.3.2-r1.apk
2024-10-25 19:10
9.4K
rlottie-dev-0.2_git20230831-r0.apk
2024-10-25 19:10
9.4K
apt-mirror-0.5.4-r0.apk
2024-10-25 19:08
9.4K
avarice-doc-2.14-r4.apk
2024-10-25 19:08
9.4K
py3-intervals-0.9.2-r5.apk
2024-10-25 19:10
9.4K
lomiri-trust-store-dev-2.0.2-r6.apk
2024-10-25 19:09
9.5K
perl-class-c3-0.35-r1.apk
2024-10-25 19:10
9.5K
gpg-remailer-doc-3.04.07-r1.apk
2024-10-25 19:09
9.5K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 05:59
9.5K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 19:10
9.5K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 19:10
9.5K
libfishsound-1.0.0-r1.apk
2024-10-25 19:09
9.5K
libtsm-dev-4.0.2-r1.apk
2024-10-25 19:09
9.5K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 19:10
9.5K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 19:10
9.5K
yoe-kiosk-browser-0_git20231118-r0.apk
2024-10-25 19:11
9.5K
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk
2024-11-21 13:31
9.6K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 19:10
9.6K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 19:10
9.6K
atool-doc-0.39.0-r4.apk
2024-10-25 19:08
9.6K
libsds-2.0.0-r1.apk
2024-10-25 19:09
9.6K
rygel-doc-0.44.1-r0.apk
2024-12-01 12:57
9.6K
perl-future-q-0.120-r0.apk
2024-10-25 19:10
9.6K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 19:08
9.6K
libgrapheme-1-r0.apk
2024-10-25 19:09
9.6K
ocaml-result-1.5-r2.apk
2024-10-25 19:10
9.6K
perl-ref-util-xs-0.117-r8.apk
2024-10-25 19:10
9.6K
kerberoast-0.2.0-r1.apk
2024-10-25 19:09
9.6K
irccd-dev-4.0.3-r0.apk
2024-10-25 19:09
9.6K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 19:10
9.6K
perl-minion-backend-pg-10.31-r0.apk
2024-10-25 19:10
9.6K
console_bridge-1.0.2-r0.apk
2024-10-25 19:08
9.6K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 19:10
9.7K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:31
9.7K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 19:09
9.7K
php81-pecl-csv-0.4.2-r0.apk
2024-10-25 19:10
9.7K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk
2024-10-25 19:10
9.7K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 19:10
9.7K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 19:10
9.7K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 19:10
9.7K
perl-digest-crc-0.24-r1.apk
2024-10-25 19:10
9.7K
perl-syntax-operator-in-0.10-r0.apk
2024-10-25 19:10
9.7K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 19:10
9.8K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 19:10
9.8K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 19:09
9.8K
py3-jaraco.vcs-2.4.0-r0.apk
2024-10-25 19:10
9.8K
noice-0.8-r1.apk
2024-10-25 19:10
9.8K
perl-hash-ordered-0.014-r0.apk
2024-10-25 19:10
9.8K
fbvnc-0_git20220812-r0.apk
2024-10-25 19:09
9.8K
ovos-messagebus-0.0.8-r0.apk
2024-11-25 12:41
9.8K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
9.8K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 19:10
9.8K
py3-synapse-auto-accept-invite-1.2.0-r0.apk
2024-10-25 19:10
9.8K
perl-freezethaw-0.5001-r2.apk
2024-10-25 19:10
9.8K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 19:09
9.8K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 19:10
9.8K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 19:09
9.9K
perl-test-toolbox-0.4-r5.apk
2024-10-25 19:10
9.9K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 19:10
9.9K
libuecc-7-r3.apk
2024-10-25 19:09
9.9K
lomiri-indicator-network-dev-1.0.2-r2.apk
2024-10-25 19:09
9.9K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 19:10
9.9K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 19:08
9.9K
thunarx-python-0.5.2-r2.apk
2024-10-25 19:11
9.9K
libgrapheme-dev-1-r0.apk
2024-10-25 19:09
9.9K
fyi-1.0.4-r0.apk
2024-10-25 19:09
9.9K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 19:09
9.9K
perl-math-libm-1.00-r14.apk
2024-10-25 19:10
9.9K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 19:10
9.9K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 19:10
9.9K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 19:10
9.9K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 19:10
9.9K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 19:10
9.9K
emacs-llama-0.3.1_git20240722-r0.apk
2024-10-25 19:09
9.9K
handlebars-utils-1.0.0-r1.apk
2024-10-25 19:09
9.9K
pcsc-perl-doc-1.4.16-r1.apk
2024-10-25 19:10
10K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 19:10
10K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 19:10
10K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 19:10
10K
perl-term-ui-0.50-r1.apk
2024-10-25 19:10
10K
py3-uptime-3.0.1-r9.apk
2024-10-25 19:10
10K
wpa_actiond-1.4-r7.apk
2024-10-25 19:11
10K
perl-starman-doc-0.4017-r0.apk
2024-10-25 19:10
10K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 19:10
10K
kumactl-bash-completion-2.8.4-r0.apk
2024-10-25 19:09
10K
py3-itunespy-1.6-r4.apk
2024-10-25 19:10
10K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 19:08
10K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 19:10
10K
py3-minidb-2.0.8-r0.apk
2024-11-13 19:44
10K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 19:10
10K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 19:10
10K
knative-client-bash-completion-1.16.1-r0.apk
2024-12-14 20:03
10K
netsed-1.3-r3.apk
2024-10-25 19:10
10K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 19:08
10K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 19:10
10K
pick-4.0.0-r0.apk
2024-10-25 19:10
10K
flowd-doc-0.9.1-r10.apk
2024-10-25 19:09
10K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 19:10
10K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-20 00:45
10K
xfce4-smartbookmark-plugin-0.5.2-r0.apk
2024-10-25 19:11
10K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 19:10
10K
ifuse-1.1.4-r5.apk
2024-10-30 22:44
10K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 19:10
10K
perl-system-command-doc-1.122-r0.apk
2024-10-25 19:10
10K
py3-bottle-session-1.0-r6.apk
2024-10-25 19:10
10K
php81-bz2-8.1.31-r0.apk
2024-11-20 02:36
10K
fatrace-0.17.0-r0.apk
2024-10-25 19:09
10K
nullmailer-doc-2.2-r4.apk
2024-10-25 19:10
10K
cgo-0.6.1-r1.apk
2024-10-25 19:08
10K
opkg-0.7.0-r0.apk
2024-10-25 19:10
10K
toss-1.1-r0.apk
2024-10-25 19:11
10K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 19:10
10K
linuxkit-doc-1.5.2-r0.apk
2024-10-25 19:09
10K
py3-glob2-0.7-r6.apk
2024-10-25 19:10
10K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 19:10
10K
perl-full-doc-1.004-r0.apk
2024-10-25 19:10
10K
proot-doc-5.4.0-r1.apk
2024-10-25 19:10
10K
alttab-doc-1.7.1-r0.apk
2024-10-25 19:08
10K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 19:10
10K
nvim-plenary-doc-0.1.4_git20240917-r0.apk
2024-11-11 04:07
10K
ovos-phal-0.2.7-r0.apk
2024-11-21 13:31
10K
snapper-dev-0.12.0-r0.apk
2024-11-20 00:45
10K
shntool-doc-3.0.10-r4.apk
2024-10-25 19:10
10K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 19:10
10K
perl-pod-tidy-0.10-r1.apk
2024-10-25 19:10
10K
neko-dev-2.3.0-r0.apk
2024-11-21 00:55
10K
tree-sitter-git-diff-0_git20230730-r0.apk
2024-10-25 19:11
10K
tcc-0.9.27_git20241020-r1.apk
2024-10-25 19:10
10K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:13
10K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 19:10
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 19:10
10K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 19:10
10K
perl-math-int64-doc-0.57-r1.apk
2024-10-25 19:10
10K
gtk4-layer-shell-demo-1.0.4-r1.apk
2024-11-08 07:42
10K
emacs-embark-consult-1.0_git20240327-r0.apk
2024-10-25 19:09
10K
arj-doc-0_git20220125-r1.apk
2024-10-25 19:08
10K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 19:10
10K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 19:10
10K
libdng-0.1.1-r1.apk
2024-10-25 19:09
10K
perl-log-fu-0.31-r4.apk
2024-10-25 19:10
11K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 19:10
11K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 19:10
11K
bananui-demos-2.0.0-r0.apk
2024-10-25 19:08
11K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 19:10
11K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-10-25 19:10
11K
lolcat-1.4-r0.apk
2024-10-25 19:09
11K
ydcv-pyc-0.7-r8.apk
2024-10-25 19:11
11K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 19:10
11K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 19:10
11K
py3-rfc3987-pyc-1.3.8-r6.apk
2024-10-25 19:10
11K
cm256cc-1.1.1-r0.apk
2024-10-25 19:08
11K
perl-log-message-0.08-r3.apk
2024-10-25 19:10
11K
wch-isp-0.4.1-r2.apk
2024-10-25 19:11
11K
ocaml-extlib-doc-1.7.9-r2.apk
2024-10-25 19:10
11K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 19:10
11K
py3-businesstime-0.3.0-r9.apk
2024-10-25 19:10
11K
qstardict-doc-2.0.2-r1.apk
2024-11-24 07:46
11K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 19:10
11K
psi-notify-1.3.1-r0.apk
2024-10-25 19:10
11K
py3-pydes-2.0.1-r5.apk
2024-10-25 19:10
11K
convert2json-doc-1.1.2-r0.apk
2024-11-30 11:15
11K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 22:59
11K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 19:10
11K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 19:10
11K
lem-common-2.2.0-r0.apk
2024-10-25 19:09
11K
perl-promise-es6-0.28-r0.apk
2024-10-25 19:10
11K
fff-2.2-r0.apk
2024-10-25 19:09
11K
toapk-1.0-r0.apk
2024-10-25 19:11
11K
dived-doc-1.9.0-r0.apk
2024-10-25 19:08
11K
turn-rs-doc-3.2.0-r0.apk
2024-12-01 00:41
11K
xvkbd-doc-4.1-r2.apk
2024-10-25 19:11
11K
py3-click-completion-0.5.2-r1.apk
2024-10-25 19:10
11K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 19:09
11K
mint-y-icons-doc-1.7.7-r0.apk
2024-11-12 11:03
11K
perl-math-random-doc-0.72-r0.apk
2024-10-25 19:10
11K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 19:10
11K
tick-1.2.1-r0.apk
2024-10-25 19:11
11K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 19:10
11K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
2024-10-25 19:10
11K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 19:10
11K
py3-rabbit-1.1.0-r8.apk
2024-10-25 19:10
11K
evolution-on-3.24.4-r0.apk
2024-10-30 14:24
11K
thumbdrives-0.3.2-r2.apk
2024-10-25 19:11
11K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:10
11K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:10
11K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:10
11K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 19:10
11K
py3-blockchain-1.4.4-r7.apk
2024-10-25 19:10
11K
perl-getopt-long-descriptive-doc-0.115-r0.apk
2024-11-11 14:10
11K
screenkey-doc-1.5-r6.apk
2024-10-25 19:10
11K
xwaylandvideobridge-lang-0.4.0-r1.apk
2024-10-25 19:11
11K
perl-glib-object-introspection-doc-0.051-r1.apk
2024-10-25 19:10
11K
lomiri-history-service-dev-0.6-r2.apk
2024-12-07 19:21
11K
neard-dev-0.19-r0.apk
2024-10-25 19:10
11K
py3-base58-2.1.1-r2.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 19:10
11K
kirc-0.3.2-r0.apk
2024-10-25 19:09
11K
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk
2024-11-21 13:31
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 19:10
11K
py3-pam-2.0.2-r2.apk
2024-10-25 19:10
11K
php81-xmlwriter-8.1.31-r0.apk
2024-11-20 02:36
11K
py3-lsp-mypy-pyc-0.6.8-r1.apk
2024-10-25 19:10
11K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 19:10
11K
php81-posix-8.1.31-r0.apk
2024-11-20 02:36
11K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:31
11K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 19:10
11K
lomiri-schemas-0.1.5-r0.apk
2024-10-25 19:09
11K
nuzzle-1.5-r0.apk
2024-10-25 19:10
11K
meson-tools-0.1-r2.apk
2024-12-09 16:38
11K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
libraqm-0.10.2-r0.apk
2024-10-25 19:09
11K
octoprint-filecheck-pyc-2024.3.27-r1.apk
2024-10-25 19:10
11K
hsetroot-1.0.5-r1.apk
2024-10-25 19:09
11K
py3-vdf-3.4-r1.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 19:10
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 19:09
11K
py3-ovos-ocp-news-plugin-0.0.4-r0.apk
2024-10-25 19:10
11K
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-10-25 19:10
11K
lychee-doc-0.15.1-r0.apk
2024-10-25 19:09
11K
perl-xml-bare-doc-0.53-r13.apk
2024-10-25 19:10
11K
mm-dev-1.4.2-r1.apk
2024-10-25 19:10
11K
lizardfs-doc-3.13.0-r14.apk
2024-12-04 11:57
11K
lomiri-content-hub-dev-2.0.0-r0.apk
2024-10-25 19:09
11K
dasht-doc-2.4.0-r0.apk
2024-10-25 19:08
11K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 19:10
11K
perl-devel-confess-0.009004-r0.apk
2024-10-25 19:10
11K
libecap-dev-1.0.1-r1.apk
2024-10-25 19:09
11K
extrace-0.9-r0.apk
2024-10-25 19:09
11K
py3-padacioso-0.2.1-r0.apk
2024-10-25 19:10
11K
emacs-taxy-0.10.1-r0.apk
2024-10-25 19:09
11K
py3-halo-0.0.31-r5.apk
2024-10-25 19:10
11K
py3-x-wr-timezone-2.0.0-r0.apk
2024-12-06 22:58
11K
py3-pygelbooru-pyc-0.5.0-r4.apk
2024-10-25 19:10
11K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
2024-10-25 19:10
11K
setroot-2.0.2-r1.apk
2024-10-25 19:10
11K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 19:09
12K
py3-downloader-cli-0.3.4-r1.apk
2024-10-25 19:10
12K
restart-services-0.17.0-r0.apk
2024-10-25 19:10
12K
mtree-portable-doc-0_git20220519-r0.apk
2024-10-25 19:10
12K
gnome-common-3.18.0-r3.apk
2024-10-25 19:09
12K
ptylie-0.2-r1.apk
2024-10-25 19:10
12K
xlhtml-0.5.1-r0.apk
2024-10-25 19:11
12K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 19:10
12K
ddgr-doc-2.2-r0.apk
2024-10-25 19:08
12K
py3-lsp-mypy-0.6.8-r1.apk
2024-10-25 19:10
12K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:18
12K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 19:09
12K
py3-wgconfig-pyc-1.0.3-r0.apk
2024-10-25 19:10
12K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 19:08
12K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 20:23
12K
minidyndns-1.3.0-r3.apk
2024-10-25 19:10
12K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 19:10
12K
perl-test-file-1.993-r1.apk
2024-10-25 19:10
12K
py3-bson-0.5.10-r6.apk
2024-10-25 19:10
12K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 19:10
12K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-10-25 19:10
12K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 19:10
12K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 19:10
12K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 19:10
12K
yamkix-pyc-0.10.0-r1.apk
2024-10-25 19:11
12K
py3-pymsteams-0.2.3-r1.apk
2024-10-25 19:10
12K
hare-http-0_git20240419-r0.apk
2024-11-29 12:12
12K
php81-tokenizer-8.1.31-r0.apk
2024-11-20 02:36
12K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 19:10
12K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 19:10
12K
tdrop-0.5.0-r0.apk
2024-10-25 19:10
12K
perl-system-command-1.122-r0.apk
2024-10-25 19:10
12K
espeakup-0.90-r2.apk
2024-10-25 19:09
12K
time-1.9-r1.apk
2024-10-25 19:11
12K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 19:09
12K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 19:10
12K
ocaml-calendar-doc-2.04-r4.apk
2024-10-25 19:10
12K
php81-pecl-brotli-0.15.2-r0.apk
2024-11-08 20:37
12K
perl-test-roo-1.004-r3.apk
2024-10-25 19:10
12K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:31
12K
rofi-blocks-0.1.0-r0.apk
2024-10-25 19:10
12K
ovpncc-0.1_rc1-r0.apk
2024-10-25 19:10
12K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 19:10
12K
py3-git-versioner-7.1-r1.apk
2024-10-25 19:10
12K
vmtouch-1.3.1-r0.apk
2024-10-25 19:11
12K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 19:10
12K
perl-promise-me-doc-0.5.0-r0.apk
2024-10-25 19:10
12K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 19:10
12K
pqiv-doc-2.12-r1.apk
2024-10-25 19:10
12K
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk
2024-11-21 13:31
12K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 19:10
12K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 19:10
12K
perl-datetime-format-flexible-doc-0.36-r0.apk
2024-10-25 19:10
12K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
perl-algorithm-permute-0.17-r0.apk
2024-10-25 19:10
12K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
enjoy-0.3-r1.apk
2024-10-25 19:09
12K
py3-simber-0.2.6-r4.apk
2024-10-25 19:10
12K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 19:09
12K
perl-nice-try-doc-1.3.15-r0.apk
2024-11-07 05:26
12K
nlopt-dev-2.8.0-r0.apk
2024-10-25 19:10
12K
vim-airline-doc-0.11-r0.apk
2024-10-25 19:11
12K
ssss-0.5.7-r0.apk
2024-10-25 19:10
12K
catcodec-1.0.5-r2.apk
2024-10-25 19:08
12K
perl-log-message-doc-0.08-r3.apk
2024-10-25 19:10
12K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 19:10
12K
ddserver-0_git20200930-r1.apk
2024-10-25 19:08
12K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 19:10
12K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-10-25 19:10
12K
tcc-libs-static-0.9.27_git20241020-r1.apk
2024-10-25 19:10
12K
belcard-dev-5.3.83-r0.apk
2024-10-25 19:08
12K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
2024-12-06 22:59
12K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 19:10
12K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 19:10
12K
perl-uri-db-0.22-r0.apk
2024-10-25 19:10
12K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk
2024-10-25 19:10
12K
rgxg-doc-0.1.2-r2.apk
2024-10-25 19:10
12K
legume-doc-1.4.2-r4.apk
2024-10-25 19:09
12K
uclient-20210514-r0.apk
2024-10-25 19:11
12K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
lottieconverter-0.2_git20231219-r0.apk
2024-10-25 19:09
12K
perl-daemon-control-0.001010-r2.apk
2024-10-25 19:10
12K
git-quick-stats-2.5.8-r0.apk
2024-10-25 19:09
12K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 19:10
12K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 19:10
12K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 19:10
12K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 19:10
12K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 19:10
12K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
m2r2-0.3.3-r3.apk
2024-10-25 19:09
13K
py3-itemloaders-1.3.2-r0.apk
2024-10-25 19:10
13K
xosview-doc-1.24-r0.apk
2024-10-25 19:11
13K
perl-barcode-zbar-doc-0.10-r3.apk
2024-10-25 19:10
13K
py3-libiio-0.25-r2.apk
2024-10-25 19:10
13K
nvimpager-0.12.0-r0.apk
2024-10-25 19:10
13K
perl-list-binarysearch-xs-0.09-r1.apk
2024-10-25 19:10
13K
geonames-doc-0.3.1-r2.apk
2024-10-25 19:09
13K
perl-json-path-doc-1.0.6-r0.apk
2024-10-25 19:10
13K
clatd-1.6-r0.apk
2024-10-25 19:08
13K
rtptools-doc-1.22-r2.apk
2024-10-25 19:10
13K
tpp-bypass-0.8.4-r0.apk
2024-10-25 19:11
13K
perl-ev-hiredis-0.07-r1.apk
2024-10-25 19:10
13K
rlottie-doc-0.2_git20230831-r0.apk
2024-10-25 19:10
13K
php81-pdo_dblib-8.1.31-r0.apk
2024-11-20 02:36
13K
php81-pdo_odbc-8.1.31-r0.apk
2024-11-20 02:36
13K
gr-satellites-dev-5.5.0-r4.apk
2024-12-18 12:16
13K
py3-crc16-0.1.1-r10.apk
2024-10-25 19:10
13K
kubesplit-0.3.3-r1.apk
2024-10-25 19:09
13K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 19:10
13K
saait-doc-0.8-r0.apk
2024-10-25 19:10
13K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 19:10
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 19:10
13K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:23
13K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 19:10
13K
termbox-1.1.2-r1.apk
2024-10-25 19:10
13K
mcqd-1.0.0-r1.apk
2024-10-25 19:10
13K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 19:10
13K
wlavu-0_git20201101-r1.apk
2024-10-25 19:11
13K
catfish-doc-4.18.0-r2.apk
2024-10-25 19:08
13K
py3-queuelib-1.7.0-r0.apk
2024-10-25 19:10
13K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 19:10
13K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 19:10
13K
glmark2-doc-2023.01-r1.apk
2024-10-25 19:09
13K
darts-clone-dev-0_git20181117-r0.apk
2024-10-25 19:08
13K
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 19:10
13K
faultstat-0.01.11-r0.apk
2024-10-25 19:09
13K
mint-themes-doc-2.1.1-r0.apk
2024-10-25 19:10
13K
py3-incoming-0.3.1-r8.apk
2024-10-25 19:10
13K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 19:09
13K
utop-emacs-2.9.1-r4.apk
2024-10-25 19:11
13K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 19:09
13K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 19:10
13K
planarity-doc-3.0.2.0-r2.apk
2024-10-25 19:10
13K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:41
13K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 19:10
13K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 19:10
13K
devil-dev-1.8.0-r0.apk
2024-10-25 19:08
13K
lrcalc-2.1-r1.apk
2024-10-25 19:09
13K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 19:10
13K
php81-pdo_sqlite-8.1.31-r0.apk
2024-11-20 02:36
13K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 19:10
13K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 19:10
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 19:09
13K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 19:10
13K
speedtest-examples-5.2.5-r1.apk
2024-10-25 19:10
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 19:10
13K
makeself-2.5.0-r0.apk
2024-10-25 19:09
13K
py3-wifi-0.3.8-r7.apk
2024-10-25 19:10
13K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 19:10
13K
py3-pdal-pyc-3.4.5-r0.apk
2024-11-05 21:53
13K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 19:10
13K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 19:09
13K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 19:10
13K
ip2location-dev-8.6.1-r0.apk
2024-10-25 19:09
13K
luksmeta-9-r0.apk
2024-10-25 19:09
13K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 19:10
13K
py3-discid-pyc-1.2.0-r6.apk
2024-10-25 19:10
13K
php81-calendar-8.1.31-r0.apk
2024-11-20 02:36
13K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 19:10
13K
py3-flask-migrate-4.0.7-r0.apk
2024-10-25 19:10
13K
php81-pdo_mysql-8.1.31-r0.apk
2024-11-20 02:36
13K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 19:08
13K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 19:10
13K
mautrix-discord-doc-0.7.2-r0.apk
2024-12-17 05:24
13K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-10-25 19:10
13K
php81-pecl-opentelemetry-1.1.0-r0.apk
2024-10-25 19:10
13K
mp3val-0.1.8-r1.apk
2024-10-25 19:10
13K
termbox-static-1.1.2-r1.apk
2024-10-25 19:10
13K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 19:10
13K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 19:10
13K
fzy-1.0-r3.apk
2024-10-25 19:09
13K
barrier-doc-2.4.0-r1.apk
2024-10-25 19:08
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 19:10
13K
php81-xsl-8.1.31-r0.apk
2024-11-20 02:36
13K
php81-xmlreader-8.1.31-r0.apk
2024-11-20 02:36
13K
biometryd-dev-0.3.1-r6.apk
2024-11-12 19:32
13K
libecap-1.0.1-r1.apk
2024-10-25 19:09
13K
logtop-0.7-r0.apk
2024-10-25 19:09
13K
startup-tools-2.0.3-r5.apk
2024-10-25 19:10
13K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
himitsu-keyring-0.2.0-r0.apk
2024-10-25 19:09
13K
py3-asif-0.3.2-r3.apk
2024-10-25 19:10
13K
libiio-dev-0.25-r2.apk
2024-10-25 19:09
13K
wmctrl-1.07-r1.apk
2024-10-25 19:11
13K
mpdcron-doc-0.3-r1.apk
2024-10-25 19:10
13K
php81-pcntl-8.1.31-r0.apk
2024-11-20 02:36
13K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 19:10
13K
perl-starman-0.4017-r0.apk
2024-10-25 19:10
13K
py3-niaarmts-0.1.2-r0.apk
2024-11-13 16:33
13K
php81-pecl-memprof-3.0.2-r0.apk
2024-10-25 19:10
13K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 19:10
13K
pipeline-doc-2.1.0-r0.apk
2024-12-07 03:49
14K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 19:10
14K
xfd-1.1.4-r0.apk
2024-10-25 19:11
14K
tree-sitter-git-commit-0_git20211225-r2.apk
2024-10-25 19:11
14K
py3-unidns-0.0.1-r2.apk
2024-10-25 19:10
14K
seaweedfs-doc-3.79-r0.apk
2024-11-10 08:07
14K
afetch-doc-2.2.0-r1.apk
2024-10-25 19:08
14K
hikari-doc-2.3.3-r6.apk
2024-10-25 19:09
14K
py3-telegram-0.18.0-r3.apk
2024-10-25 19:10
14K
lsdvd-0.17-r0.apk
2024-10-25 19:09
14K
xed-dev-3.6.6-r1.apk
2024-12-12 19:33
14K
mpdris2-rs-doc-0.2.3-r0.apk
2024-10-25 19:10
14K
ocaml-mirage-net-4.0.0-r3.apk
2024-10-25 19:10
14K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 22:59
14K
perl-cpan-changes-0.500004-r0.apk
2024-10-25 19:10
14K
peg-doc-0.1.18-r1.apk
2024-10-25 19:10
14K
libcorkipset-1.1.1-r4.apk
2024-10-25 19:09
14K
wabt-doc-1.0.36-r0.apk
2024-10-25 19:11
14K
mcman-doc-0.4.5-r0.apk
2024-10-25 19:10
14K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 19:10
14K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 19:10
14K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 19:10
14K
aide-doc-0.18.8-r0.apk
2024-10-25 19:08
14K
openslide-tools-3.4.1-r3.apk
2024-10-25 19:10
14K
readosm-1.1.0-r2.apk
2024-10-25 19:10
14K
fna3d-dev-24.12-r0.apk
2024-12-05 16:06
14K
libmdf-dev-1.0.29-r0.apk
2024-10-25 19:09
14K
perl-net-netmask-2.0002-r2.apk
2024-10-25 19:10
14K
slurm-0.4.4-r0.apk
2024-10-25 19:10
14K
perl-xml-feed-0.65-r0.apk
2024-10-25 19:10
14K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 19:11
14K
tuptime-5.2.4-r1.apk
2024-11-28 22:44
14K
py3-backoff-2.2.1-r0.apk
2024-10-25 19:10
14K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 19:10
14K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 19:10
14K
perl-cairo-doc-1.109-r4.apk
2024-10-25 19:10
14K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 19:10
14K
ocaml-mirage-time-3.0.0-r4.apk
2024-10-25 19:10
14K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 19:10
14K
wshowkeys-1.0-r0.apk
2024-10-25 19:11
14K
sqlar-0_git20180107-r1.apk
2024-10-25 19:10
14K
tanidvr-dhav2mkv-1.4.1-r1.apk
2024-10-25 19:10
14K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 19:10
14K
perl-syntax-keyword-match-0.15-r0.apk
2024-10-25 19:10
14K
tere-doc-1.6.0-r0.apk
2024-10-25 19:10
14K
perl-snmp-doc-5.0404-r13.apk
2024-10-25 19:10
14K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 19:08
14K
qdjango-dev-0.6.2-r1.apk
2024-10-25 19:10
14K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 19:08
14K
gammastep-doc-2.0.9-r3.apk
2024-10-25 19:09
14K
ledmon-doc-1.0.0-r0.apk
2024-10-25 19:09
14K
qmk-cli-1.1.6-r0.apk
2024-10-31 23:17
14K
cddlib-dev-0.94m-r2.apk
2024-10-25 19:08
14K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:44
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 19:10
14K
py3-spidev-3.6-r1.apk
2024-10-25 19:10
14K
subdl-pyc-0_git20230616-r1.apk
2024-10-25 19:10
14K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 19:10
14K
par-1.53.0-r1.apk
2024-10-25 19:10
14K
py3-euclid3-0.01-r8.apk
2024-10-25 19:10
14K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 19:10
14K
apk-autoupdate-0_git20210421-r1.apk
2024-11-20 00:45
14K
py3-firmata-1.0.3-r10.apk
2024-10-25 19:10
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 19:10
14K
mspdebug-doc-0.25-r1.apk
2024-10-25 19:10
14K
php81-pecl-zstd-0.14.0-r0.apk
2024-11-06 14:44
14K
watchdog-doc-5.16-r2.apk
2024-10-25 19:11
14K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 19:10
14K
yamkix-0.10.0-r1.apk
2024-10-25 19:11
14K
dasht-2.4.0-r0.apk
2024-10-25 19:08
14K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 19:10
14K
py3-downloader-cli-pyc-0.3.4-r1.apk
2024-10-25 19:10
14K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 19:10
14K
libzvbi-dev-0.2.43-r0.apk
2024-12-07 20:32
14K
py3-us-3.2.0-r0.apk
2024-10-25 19:10
14K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 19:10
14K
mm-doc-1.4.2-r1.apk
2024-10-25 19:10
14K
sqawk-0.24.0-r0.apk
2024-10-25 19:10
14K
gnome-user-share-47.0-r0.apk
2024-10-25 19:09
14K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
mdnsd-doc-0.12-r1.apk
2024-10-25 19:10
14K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 19:09
14K
logtop-libs-0.7-r0.apk
2024-10-25 19:09
14K
listenbrainz-mpd-doc-2.3.8-r0.apk
2024-10-25 19:09
14K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 19:10
14K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 19:10
14K
gmid-doc-2.1.1-r0.apk
2024-11-27 19:26
14K
py3-backoff-pyc-2.2.1-r0.apk
2024-10-25 19:10
14K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 19:10
15K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 19:10
15K
py3-ovos-phal-plugin-system-1.0.1-r0.apk
2024-11-21 13:31
15K
b2sum-20190729-r2.apk
2024-10-25 19:08
15K
throttled-0.10.0-r1.apk
2024-12-15 19:25
15K
hexdiff-0.0.53-r2.apk
2024-10-25 19:09
15K
perl-test-files-doc-0.26-r0.apk
2024-10-25 19:10
15K
manticore-doc-6.3.8-r0.apk
2024-12-04 11:57
15K
perl-test-modern-0.013-r3.apk
2024-10-25 19:10
15K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 19:09
15K
rgxg-0.1.2-r2.apk
2024-10-25 19:10
15K
perl-getopt-long-descriptive-0.115-r0.apk
2024-11-11 14:10
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 19:10
15K
py3-fuzzywuzzy-pyc-0.18.0-r7.apk
2024-10-25 19:10
15K
aprilsh-doc-0.7.12-r0.apk
2024-10-25 19:08
15K
xcompmgr-1.1.9-r0.apk
2024-10-25 19:11
15K
dnote-doc-0.15.1-r0.apk
2024-10-25 19:08
15K
stacker-doc-1.0.0-r0.apk
2024-10-25 19:10
15K
git-secret-0.5.0-r0.apk
2024-10-25 19:09
15K
py3-urlobject-2.4.3-r9.apk
2024-10-25 19:10
15K
py3-itunespy-pyc-1.6-r4.apk
2024-10-25 19:10
15K
cmusfm-0.5.0-r0.apk
2024-10-25 19:08
15K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 19:10
15K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 19:10
15K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 19:09
15K
Kodi
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 19:10
15K
virter-doc-0.28.1-r0.apk
2024-12-14 19:38
15K
perl-list-keywords-0.11-r0.apk
2024-10-25 19:10
15K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 19:08
15K
geomyidae-0.34-r2.apk
2024-10-25 19:09
15K
perl-number-tolerant-1.710-r0.apk
2024-10-25 19:10
15K
py3-pybars3-0.9.7-r6.apk
2024-10-25 19:10
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 19:10
15K
rinetd-0.73-r0.apk
2024-10-25 19:10
15K
sopwith-doc-2.5.0-r0.apk
2024-10-25 19:10
15K
belr-dev-5.3.83-r0.apk
2024-10-25 19:08
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 19:10
15K
nkk-0_git20221010-r0.apk
2024-10-25 19:10
15K
py3-colored-2.2.4-r0.apk
2024-12-13 19:44
15K
spvm-thread-0.002-r0.apk
2024-11-25 08:04
15K
py3-pygtail-0.14.0-r3.apk
2024-10-25 19:10
15K
vile-doc-9.8z-r0.apk
2024-10-25 19:11
15K
ocaml-randomconv-0.1.3-r2.apk
2024-10-25 19:10
15K
time-doc-1.9-r1.apk
2024-10-25 19:11
15K
aptdec-libs-1.8.0-r0.apk
2024-10-25 19:08
15K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 19:10
15K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 19:10
15K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 19:10
15K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 19:11
15K
libbraiding-dev-1.3.1-r0.apk
2024-11-20 00:45
15K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 19:10
15K
ocaml-hkdf-1.0.4-r2.apk
2024-10-25 19:10
15K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 19:10
15K
py3-jaraco.vcs-pyc-2.4.0-r0.apk
2024-10-25 19:10
15K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 19:10
15K
opensmtpd-filter-dkimsign-0.6-r1.apk
2024-10-25 19:10
15K
mpdris2-0.9.1-r3.apk
2024-10-25 19:10
15K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 19:10
15K
fatresize-doc-1.1.0-r1.apk
2024-10-25 19:09
15K
kerberoast-pyc-0.2.0-r1.apk
2024-10-25 19:09
15K
tinycbor-0.6.0-r1.apk
2024-10-25 19:11
15K
bwrap-oci-0.2-r1.apk
2024-10-25 19:08
15K
perl-number-format-1.76-r1.apk
2024-10-25 19:10
15K
irctk-transport-fossil-1.1.0-r0.apk
2024-10-25 19:09
15K
xa-doc-2.3.14-r0.apk
2024-10-25 19:11
15K
gtk4-layer-shell-1.0.4-r1.apk
2024-11-08 07:42
15K
perl-dns-unbound-doc-0.29-r1.apk
2024-10-25 19:10
15K
libhomfly-1.02_p6-r1.apk
2024-10-25 19:09
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 19:10
15K
blip-0.10-r0.apk
2024-10-25 19:08
15K
hx-1.0.15-r0.apk
2024-10-25 19:09
15K
py3-sphinxcontrib-spelling-8.0.0-r3.apk
2024-10-25 19:10
15K
cm256cc-dev-1.1.1-r0.apk
2024-10-25 19:08
15K
ocaml-seq-0.3.1-r2.apk
2024-10-25 19:10
15K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 19:10
15K
py3-teletype-1.3.4-r3.apk
2024-10-25 19:10
15K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 19:09
15K
Kodi
gssdp-dev-1.6.3-r0.apk
2024-12-01 12:57
15K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 19:10
15K
py3-googletrans-3.0.0-r5.apk
2024-10-25 19:10
15K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 19:10
15K
zarchive-0.1.2-r2.apk
2024-10-25 19:11
16K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 19:09
16K
m2r2-pyc-0.3.3-r3.apk
2024-10-25 19:09
16K
py3-lib_users-0.15-r4.apk
2024-10-25 19:10
16K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 19:11
16K
py3-unicorn-hat-2.1.2-r6.apk
2024-11-06 09:41
16K
py3-niaarmts-pyc-0.1.2-r0.apk
2024-11-13 16:33
16K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-10-25 19:10
16K
perl-future-http-doc-0.17-r0.apk
2024-10-25 19:10
16K
libsimpleble-c-0.6.1-r2.apk
2024-12-14 19:38
16K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 19:09
16K
xkb-switch-1.8.5-r0.apk
2024-10-25 19:11
16K
epr-2.4.15-r1.apk
2024-10-25 19:09
16K
libvisio2svg-0.5.5-r3.apk
2024-10-25 19:09
16K
blackbox-1.20220610-r1.apk
2024-10-25 19:08
16K
perl-archive-extract-0.88-r1.apk
2024-10-25 19:10
16K
perl-indirect-0.39-r1.apk
2024-10-25 19:10
16K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 19:11
16K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 19:10
16K
ampy-1.1.0-r5.apk
2024-10-25 19:08
16K
py3-pytest-regtest-2.3.2-r0.apk
2024-11-13 23:12
16K
zile-doc-2.6.2-r1.apk
2024-10-25 19:11
16K
sacc-1.07-r0.apk
2024-10-25 19:10
16K
please-doc-0.5.5-r0.apk
2024-10-25 19:10
16K
visidata-doc-2.11.1-r2.apk
2024-10-25 19:11
16K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 19:10
16K
dvdbackup-0.4.2-r1.apk
2024-10-25 19:09
16K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 19:10
16K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 19:10
16K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 19:10
16K
php81-bcmath-8.1.31-r0.apk
2024-11-20 02:36
16K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 19:08
16K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 19:08
16K
wok-lang-3.0.0-r6.apk
2024-10-25 19:11
16K
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 19:09
16K
Kodi
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 19:11
16K
fatback-doc-1.3-r2.apk
2024-10-25 19:09
16K
predict-doc-2.3.1-r0.apk
2024-11-24 07:46
16K
wlclock-1.0.1-r0.apk
2024-10-25 19:11
16K
belcard-5.3.83-r0.apk
2024-10-25 19:08
16K
plzip-doc-1.11-r1.apk
2024-10-25 19:10
16K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 19:11
16K
Ubuntu Linux
sandbar-0.1-r0.apk
2024-10-25 19:10
16K
perl-json-path-1.0.6-r0.apk
2024-10-25 19:10
16K
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 19:10
16K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 19:10
16K
py3-ciso8601-2.3.1-r1.apk
2024-10-25 19:10
16K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 19:10
16K
irctk-doc-1.1.0-r0.apk
2024-10-25 19:09
16K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 19:10
16K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 19:10
16K
perl-git-repository-1.325-r0.apk
2024-10-25 19:10
16K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
2024-12-06 22:59
16K
py3-simber-pyc-0.2.6-r4.apk
2024-10-25 19:10
16K
linuxptp-timemaster-4.4-r0.apk
2024-11-20 00:45
16K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 19:09
16K
Kodi
xmppipe-0.16.0-r1.apk
2024-10-25 19:11
16K
rinetd-doc-0.73-r0.apk
2024-10-25 19:10
16K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 19:10
16K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 19:10
16K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 19:10
16K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:06
16K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 19:10
16K
wf-config-dev-0.8.0-r1.apk
2024-10-25 19:11
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:06
17K
xmag-1.0.8-r0.apk
2024-10-25 19:11
17K
hyfetch-doc-1.99.0-r1.apk
2024-10-25 19:09
17K
php83-pecl-vld-0.18.0-r1.apk
2024-10-25 19:10
17K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 19:10
17K
startup-lang-2.0.3-r5.apk
2024-10-25 19:10
17K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 19:10
17K
logc-argp-0.5.0-r0.apk
2024-10-25 19:09
17K
py3-itemloaders-pyc-1.3.2-r0.apk
2024-10-25 19:10
17K
py3-pylru-1.2.1-r1.apk
2024-10-25 19:10
17K
mdp-1.0.15-r1.apk
2024-10-25 19:10
17K
php82-pecl-vld-0.18.0-r0.apk
2024-10-25 19:10
17K
paperkey-1.6-r2.apk
2024-10-25 19:10
17K
py3-funcparserlib-1.0.1-r4.apk
2024-10-25 19:10
17K
xfce4-diskperf-plugin-2.7.0-r0.apk
2024-10-25 19:11
17K
fnf-0.1-r0.apk
2024-10-25 19:09
17K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 19:10
17K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 19:10
17K
gsimplecal-2.5.1-r0.apk
2024-10-25 19:09
17K
junit2html-31.0.2-r0.apk
2024-10-25 19:09
17K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 19:09
17K
Kodi
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 19:10
17K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 19:10
17K
ocaml-trie-1.0.0-r2.apk
2024-10-25 19:10
17K
tang-14-r0.apk
2024-10-25 19:10
17K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 19:10
17K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 19:10
17K
repo-2.50.1-r0.apk
2024-12-20 20:12
17K
shc-4.0.3-r2.apk
2024-10-25 19:10
17K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 19:10
17K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
php81-iconv-8.1.31-r0.apk
2024-11-20 02:36
17K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 19:09
17K
dfl-login1-0.2.0-r0.apk
2024-10-25 19:08
17K
hping3-doc-20051105-r4.apk
2024-10-25 19:09
17K
xva-img-1.5-r0.apk
2024-10-25 19:11
17K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
2024-10-25 19:10
17K
ocp-indent-doc-1.8.2-r2.apk
2024-10-25 19:10
17K
mkg3a-0.5.0-r1.apk
2024-10-25 19:10
17K
xvidtune-1.0.4-r0.apk
2024-10-25 19:11
17K
dislocker-0.7.3-r5.apk
2024-10-25 19:08
17K
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 19:10
17K
py3-dpath-2.2.0-r0.apk
2024-10-25 19:10
17K
gpscorrelate-lang-2.2-r0.apk
2024-11-12 11:07
17K
tkts-2.0-r0.apk
2024-10-25 19:11
17K
git-secret-doc-0.5.0-r0.apk
2024-10-25 19:09
17K
diceware-pyc-0.10-r1.apk
2024-10-25 19:08
17K
py3-svgpath-6.3-r3.apk
2024-10-25 19:10
17K
py3-bencode-4.0.0-r1.apk
2024-10-25 19:10
17K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 19:10
17K
spvm-mime-base64-1.001003-r1.apk
2024-10-25 19:10
17K
dropwatch-1.5.4-r6.apk
2024-10-25 19:09
17K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 19:10
17K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 19:11
17K
arc-metacity-20221218-r0.apk
2024-10-25 19:08
17K
py3-findpython-0.6.2-r0.apk
2024-10-25 19:10
17K
py3-actdiag-3.0.0-r5.apk
2024-10-25 19:10
17K
snapraid-doc-12.3-r0.apk
2024-10-25 19:10
17K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 19:08
17K
py3-truststore-0.10.0-r0.apk
2024-10-25 19:10
17K
emacs-taxy-magit-section-0.13-r0.apk
2024-10-25 19:09
17K
py3-lzo-1.16-r1.apk
2024-10-25 19:10
17K
py3-testresources-2.0.1-r6.apk
2024-10-25 19:10
17K
hyx-2024.02.29-r0.apk
2024-10-25 19:09
17K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 19:10
17K
py3-kerberos-1.3.1-r5.apk
2024-10-25 19:10
17K
py3-tls_parser-pyc-2.0.1-r1.apk
2024-10-25 19:10
17K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 19:10
17K
gtklock-2.1.0-r0.apk
2024-10-25 19:09
17K
libfort-dev-0.4.2-r0.apk
2024-10-25 19:09
17K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 19:08
17K
git-bug-doc-0.8.0-r14.apk
2024-10-25 19:09
17K
arc-dark-metacity-20221218-r0.apk
2024-10-25 19:08
17K
boson-0_git20211219-r0.apk
2024-10-25 19:08
17K
arc-darker-metacity-20221218-r0.apk
2024-10-25 19:08
17K
hexedit-1.6_git20230905-r0.apk
2024-10-25 19:09
17K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 19:10
17K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 19:09
18K
Kodi
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 19:10
18K
libecap-static-1.0.1-r1.apk
2024-10-25 19:09
18K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 19:10
18K
libeantic-dev-2.0.2-r1.apk
2024-10-25 19:09
18K
mobroute-doc-0.8.4-r0.apk
2024-11-20 00:45
18K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 19:10
18K
hiawatha-letsencrypt-11.6-r0.apk
2024-10-25 19:09
18K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 19:09
18K
spvm-errno-0.092-r1.apk
2024-10-25 19:10
18K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 19:09
18K
hare-harfbuzz-0_git20240715-r1.apk
2024-11-28 16:16
18K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 19:09
18K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 19:10
18K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 19:09
18K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 19:10
18K
rizin-doc-0.6.3-r1.apk
2024-10-25 19:10
18K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 19:10
18K
perl-html-tableextract-2.15-r4.apk
2024-10-25 19:10
18K
remind-caldav-0.8.0-r4.apk
2024-10-25 19:10
18K
lomiri-download-manager-dev-0.1.3-r3.apk
2024-10-25 19:09
18K
surfraw-doc-2.3.0-r0.apk
2024-10-25 19:10
18K
siril-doc-1.2.5-r0.apk
2024-11-22 09:37
18K
atool-0.39.0-r4.apk
2024-10-25 19:08
18K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 19:11
18K
pacparser-doc-1.4.5-r1.apk
2024-10-25 19:10
18K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 19:10
18K
newsyslog-1.2.0.91-r1.apk
2024-10-25 19:10
18K
rmlint-doc-2.10.2-r2.apk
2024-10-25 19:10
18K
freealut-1.1.0-r1.apk
2024-10-25 19:09
18K
wayqt-dev-0.2.0-r0.apk
2024-10-25 19:11
18K
libiio-doc-0.25-r2.apk
2024-10-25 19:09
18K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 19:10
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 19:09
18K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 19:10
18K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:44
18K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 19:09
18K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 19:10
18K
hatop-0.8.2-r0.apk
2024-10-25 19:09
18K
mstflint-doc-4.26.0.1-r0.apk
2024-10-25 19:10
18K
circuslinux-doc-1.0.3-r1.apk
2024-10-25 19:08
18K
anarch-doc-1.0-r1.apk
2024-10-25 19:08
18K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 19:10
18K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-10-25 19:10
18K
perl-opentracing-1.006-r0.apk
2024-10-25 19:10
18K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 19:10
18K
perl-datetime-format-flexible-0.36-r0.apk
2024-10-25 19:10
18K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:39
18K
mobpass-0.2-r6.apk
2024-10-25 19:10
18K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 19:10
18K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 19:09
18K
persistent-cache-cpp-dev-1.0.7-r2.apk
2024-10-25 19:10
18K
py3-flask-migrate-pyc-4.0.7-r0.apk
2024-10-25 19:10
18K
roswell-doc-24.10.115-r0.apk
2024-10-25 19:10
18K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 19:10
18K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 19:10
18K
perl-cpan-changes-doc-0.500004-r0.apk
2024-10-25 19:10
18K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 19:10
18K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 19:08
18K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 19:09
18K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 19:10
18K
mdnsd-libs-0.12-r1.apk
2024-10-25 19:10
18K
pinephone-compass-0.4.0-r1.apk
2024-10-25 19:10
18K
py3-schema-pyc-0.7.5-r4.apk
2024-10-25 19:10
18K
ldapdomaindump-0.9.4-r1.apk
2024-10-25 19:09
18K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 19:10
18K
llmnrd-0.7-r1.apk
2024-10-25 19:09
18K
wordgrinder-doc-0.8-r2.apk
2024-10-25 19:11
18K
libtinycbor-0.6.0-r1.apk
2024-10-25 19:09
18K
node-libpg-query-13.1.2-r5.apk
2024-10-25 19:10
18K
cowsay-3.04-r2.apk
2024-10-25 19:08
18K
speedtest-doc-5.2.5-r1.apk
2024-10-25 19:10
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 19:09
18K
znc-clientbuffer-1.0.48-r8.apk
2024-10-25 19:11
18K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-10-25 19:10
18K
py3-fuzzywuzzy-0.18.0-r7.apk
2024-10-25 19:10
18K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 19:10
18K
php81-tidy-8.1.31-r0.apk
2024-11-20 02:36
18K
py3-schema-0.7.5-r4.apk
2024-10-25 19:10
18K
adjtimex-1.29-r0.apk
2024-10-25 19:08
18K
py3-wbdata-1.0.0-r1.apk
2024-10-25 19:10
18K
wlrobs-1.0-r4.apk
2024-10-25 19:11
18K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 19:10
19K
py3-spin-0.8-r0.apk
2024-10-25 19:10
19K
py3-agithub-2.2.2-r6.apk
2024-10-25 19:10
19K
php81-pecl-yaml-2.2.4-r0.apk
2024-10-25 19:10
19K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:46
19K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 19:09
19K
powerstat-0.04.01-r0.apk
2024-10-25 19:10
19K
php81-pdo_pgsql-8.1.31-r0.apk
2024-11-20 02:36
19K
py3-vatnumber-1.2-r9.apk
2024-10-25 19:10
19K
py3-funcparserlib-pyc-1.0.1-r4.apk
2024-10-25 19:10
19K
py3-iniparse-0.5-r7.apk
2024-10-25 19:10
19K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 19:09
19K
ocaml-pbkdf-1.2.0-r2.apk
2024-10-25 19:10
19K
py3-helper-2.5.0-r5.apk
2024-10-25 19:10
19K
mdnsd-static-0.12-r1.apk
2024-10-25 19:10
19K
droidcam-2.1.3-r1.apk
2024-11-08 21:25
19K
libopensmtpd-0.7-r0.apk
2024-10-25 19:09
19K
bootterm-0.5-r0.apk
2024-10-25 19:08
19K
php81-xml-8.1.31-r0.apk
2024-11-20 02:36
19K
py3-yara-4.5.1-r0.apk
2024-10-25 19:10
19K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
libserialport-0.1.1-r1.apk
2024-10-25 19:09
19K
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-10-25 19:10
19K
rmlint-lang-2.10.2-r2.apk
2024-10-25 19:10
19K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
2024-10-25 19:10
19K
perl-autobox-3.0.2-r0.apk
2024-10-25 19:10
19K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 19:09
19K
py3-enzyme-pyc-0.5.1-r0.apk
2024-10-25 19:10
19K
py3-evohome-client-0.3.7-r4.apk
2024-10-25 19:10
19K
razercfg-gui-0.42-r7.apk
2024-10-25 19:10
19K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 19:09
19K
moe-doc-1.14-r0.apk
2024-10-25 19:10
19K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 19:09
19K
ddcci-driver-linux-src-0.4.5-r0.apk
2024-10-25 19:08
19K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 19:10
19K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 19:10
19K
py3-poetry-dynamic-versioning-1.4.1-r0.apk
2024-10-25 19:10
19K
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 19:10
19K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 19:10
19K
xfce4-verve-plugin-2.0.3-r0.apk
2024-10-25 19:11
19K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 19:10
19K
simpleble-dev-0.6.1-r2.apk
2024-12-14 19:38
19K
planarity-dev-3.0.2.0-r2.apk
2024-10-25 19:10
19K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 19:10
19K
sdparm-doc-1.12-r1.apk
2024-10-25 19:10
19K
readosm-dev-1.1.0-r2.apk
2024-10-25 19:10
19K
py3-pathvalidate-3.2.1-r0.apk
2024-10-25 19:10
19K
materia-kde-20220823-r0.apk
2024-10-25 19:10
19K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 19:09
19K
shellinabox-doc-2.21-r3.apk
2024-10-25 19:10
19K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 19:10
19K
vbindiff-3.0_beta5-r1.apk
2024-10-25 19:11
19K
musikcube-plugin-stockencoders-3.0.4-r0.apk
2024-10-25 19:10
19K
bootinfo-0.1.0-r4.apk
2024-10-25 19:08
19K
xfce4-panel-profiles-doc-1.0.14-r1.apk
2024-10-25 19:11
19K
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 19:09
19K
Kodi
perl-bsd-resource-1.2911-r10.apk
2024-10-25 19:10
19K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 19:10
20K
lua-lupa-1.0-r0.apk
2024-10-25 19:09
20K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 19:10
20K
libofx-dev-0.10.9-r1.apk
2024-10-25 19:09
20K
perl-xml-atom-0.43-r0.apk
2024-10-25 19:10
20K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 19:09
20K
ampy-pyc-1.1.0-r5.apk
2024-10-25 19:08
20K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-10-25 19:10
20K
libmrss-0.19.2-r1.apk
2024-10-25 19:09
20K
perl-gtk3-0.038-r1.apk
2024-10-25 19:10
20K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 19:10
20K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 19:10
20K
py3-py-radix-0.10.0-r10.apk
2024-10-25 19:10
20K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 19:09
20K
Kodi
py3-sphinx-autodoc-typehints-2.4.3-r0.apk
2024-10-25 19:10
20K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 19:10
20K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-10-25 19:10
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 19:10
20K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 19:10
20K
circuslinux-1.0.3-r1.apk
2024-10-25 19:08
20K
eiwd-doc-2.22-r0.apk
2024-10-25 19:09
20K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 19:10
20K
znc-playback-0_git20210503-r8.apk
2024-10-25 19:11
20K
linuxptp-tz2alt-4.4-r0.apk
2024-11-20 00:45
20K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 19:09
20K
Kodi
perl-ffi-c-0.15-r0.apk
2024-10-25 19:10
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 19:10
20K
libnxml-0.18.3-r0.apk
2024-10-25 19:09
20K
neko-doc-2.3.0-r0.apk
2024-11-21 00:55
20K
iprange-1.0.4-r1.apk
2024-10-25 19:09
20K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 19:10
20K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 19:08
20K
xfce4-mpc-plugin-0.5.3-r0.apk
2024-10-25 19:11
20K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 19:09
20K
wsmancli-2.6.2-r0.apk
2024-10-25 19:11
20K
ydcv-0.7-r8.apk
2024-10-25 19:11
20K
php81-gmp-8.1.31-r0.apk
2024-11-20 02:36
20K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 19:10
20K
py3-piccata-2.0.3-r1.apk
2024-10-25 19:10
20K
php81-pecl-ast-1.1.2-r0.apk
2024-10-25 19:10
20K
ddgr-2.2-r0.apk
2024-10-25 19:08
20K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 19:10
20K
kubeone-doc-1.9.0-r0.apk
2024-12-14 18:12
20K
perl-net-patricia-1.22-r12.apk
2024-10-25 19:10
20K
perl-http-xsheaders-0.400005-r1.apk
2024-10-25 19:10
20K
ocaml-seq-dev-0.3.1-r2.apk
2024-10-25 19:10
20K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 19:10
20K
php81-snmp-8.1.31-r0.apk
2024-11-20 02:36
20K
minimodem-0.24-r1.apk
2024-10-25 19:10
20K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 19:10
20K
portsmf-dev-239-r1.apk
2024-10-25 19:10
20K
mesa-asahi-dri-gallium-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
20K
argocd-bash-completion-2.13.1-r0.apk
2024-12-11 21:06
20K
ptpd-doc-2.3.1-r1.apk
2024-10-25 19:10
20K
libcyaml-1.4.2-r0.apk
2024-10-25 19:09
20K
qmk-cli-pyc-1.1.6-r0.apk
2024-10-31 23:17
20K
py3-libnacl-2.1.0-r1.apk
2024-10-25 19:10
20K
py3-nmap-0.7.1-r4.apk
2024-10-25 19:10
20K
lomiri-app-launch-dev-0.1.9-r3.apk
2024-10-25 19:09
20K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 19:09
20K
dulcepan-1.0.2-r0.apk
2024-10-25 19:09
20K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 19:10
20K
sqm-scripts-1.6.0-r0.apk
2024-10-25 19:10
20K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 19:10
21K
vim-rust-305-r0.apk
2024-10-25 19:11
21K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 19:10
21K
musikcube-dev-3.0.4-r0.apk
2024-10-25 19:10
21K
kodaskanna-lang-0.2.0-r0.apk
2024-12-07 19:21
21K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:01
21K
tang-doc-14-r0.apk
2024-10-25 19:10
21K
htmlcxx-dev-0.87-r1.apk
2024-10-25 19:09
21K
py3-pypandoc-1.14-r0.apk
2024-12-04 21:56
21K
prettier-doc-3.4.2-r0.apk
2024-12-10 07:55
21K
fox-shutterbug-1.6.57-r0.apk
2024-10-25 19:09
21K
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk
2024-10-25 19:11
21K
zycore-1.5.0-r0.apk
2024-10-25 19:11
21K
py3-altgraph-0.17.4-r1.apk
2024-10-25 19:10
21K
detox-doc-2.0.0-r0.apk
2024-10-25 19:08
21K
lzfse-1.0-r0.apk
2024-10-25 19:09
21K
bestline-0.0_git20211108-r0.apk
2024-10-25 19:08
21K
py3-cobs-1.2.0-r4.apk
2024-10-25 19:10
21K
php81-sqlite3-8.1.31-r0.apk
2024-11-20 02:36
21K
lomiri-url-dispatcher-lang-0.1.3-r2.apk
2024-10-25 19:09
21K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 19:10
21K
py3-logtop-0.7-r0.apk
2024-10-25 19:10
21K
bump2version-1.0.1-r6.apk
2024-10-25 19:08
21K
dfl-ipc-0.2.0-r0.apk
2024-10-25 19:08
21K
tup-doc-0.7.11-r0.apk
2024-10-25 19:11
21K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 19:10
21K
mitra-doc-3.12.0-r0.apk
2024-12-20 14:41
21K
py3-telegram-pyc-0.18.0-r3.apk
2024-10-25 19:10
21K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 19:09
21K
Kodi
py3-rfc3987-1.3.8-r6.apk
2024-10-25 19:10
21K
py3-telemetrix-1.20-r3.apk
2024-10-25 19:10
21K
libiio-pyc-0.25-r2.apk
2024-10-25 19:09
21K
php82-pecl-excimer-1.2.3-r0.apk
2024-12-04 11:57
21K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:04
21K
php83-pecl-excimer-1.2.3-r0.apk
2024-12-04 11:57
21K
optee-client-3.20.0-r0.apk
2024-10-25 19:10
21K
zvbi-doc-0.2.43-r0.apk
2024-12-07 20:32
21K
hiawatha-doc-11.6-r0.apk
2024-10-25 19:09
21K
musikcube-plugin-mpris-3.0.4-r0.apk
2024-10-25 19:10
21K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 19:10
21K
libraqm-doc-0.10.2-r0.apk
2024-10-25 19:09
21K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 19:10
21K
znc-backlog-0_git20210503-r8.apk
2024-10-25 19:11
21K
recoll-doc-1.37.5-r1.apk
2024-10-25 19:10
21K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 19:10
21K
perl-flowd-0.9.1-r10.apk
2024-10-25 19:10
21K
dnsenum-1.3.2-r0.apk
2024-10-25 19:08
21K
ubase-doc-20200605-r3.apk
2024-10-25 19:11
21K
sregex-0.0.1-r1.apk
2024-10-25 19:10
21K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 19:10
21K
flintqs-1.0-r1.apk
2024-10-25 19:09
21K
ruff-lsp-0.0.53-r0.apk
2024-10-25 19:10
21K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 19:10
21K
py3-nptyping-2.5.0-r3.apk
2024-10-25 19:10
21K
varnish-modules-doc-0.24.0-r0.apk
2024-10-25 19:11
21K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 19:10
21K
fusee-nano-0.5.3-r1.apk
2024-10-25 19:09
21K
py3-furl-2.1.3-r4.apk
2024-10-25 19:10
21K
nmap-parse-output-1.5.1-r0.apk
2024-10-25 19:10
21K
horizon-doc-0.9.6-r9.apk
2024-10-25 19:09
21K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 19:10
21K
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 19:10
21K
mesa-asahi-gles-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
22K
php81-dba-8.1.31-r0.apk
2024-11-20 02:36
22K
py3-agithub-pyc-2.2.2-r6.apk
2024-10-25 19:10
22K
starfighter-doc-2.4-r0.apk
2024-10-25 19:10
22K
py3-unidns-pyc-0.0.1-r2.apk
2024-10-25 19:10
22K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 19:09
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 19:10
22K
py3-svgpath-pyc-6.3-r3.apk
2024-10-25 19:10
22K
wiremapper-0.10.0-r0.apk
2024-10-25 19:11
22K
cdba-server-1.0-r2.apk
2024-10-25 19:08
22K
perl-net-idn-encode-doc-2.500-r1.apk
2024-10-25 19:10
22K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 19:10
22K
php81-ftp-8.1.31-r0.apk
2024-11-20 02:36
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 19:10
22K
mbrola-3.3-r0.apk
2024-10-25 19:10
22K
postgresql-pg_partman-bitcode-5.0.0-r0.apk
2024-10-25 19:10
22K
lkrg-doc-0.9.6-r0.apk
2024-10-25 19:09
22K
cups-pdf-3.0.1-r2.apk
2024-10-25 19:08
22K
py3-pyroma-4.2-r0.apk
2024-10-25 19:10
22K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-10-25 19:10
22K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 19:10
22K
php81-simplexml-8.1.31-r0.apk
2024-11-20 02:36
22K
libnfc-doc-1.8.0-r1.apk
2024-10-25 19:09
22K
netdiscover-doc-0.10-r0.apk
2024-10-25 19:10
22K
libspatialindex-dev-0_git20210205-r1.apk
2024-10-25 19:09
22K
hari-0_git20240715-r0.apk
2024-10-25 19:09
22K
py3-cstruct-5.3-r1.apk
2024-10-25 19:10
22K
perl-mastodon-client-0.017-r0.apk
2024-10-25 19:10
22K
bindfs-1.17.6-r0.apk
2024-10-25 19:08
22K
xfce4-calculator-plugin-lang-0.7.2-r0.apk
2024-10-25 19:11
22K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 19:10
22K
php81-odbc-8.1.31-r0.apk
2024-11-20 02:36
22K
py3-mando-0.7.1-r3.apk
2024-10-25 19:10
22K
clevis-doc-19-r0.apk
2024-10-25 19:08
22K
flatpak-xdg-utils-1.0.6-r0.apk
2024-10-25 19:09
22K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 19:11
22K
py3-dexml-0.5.1-r9.apk
2024-10-25 19:10
22K
hopalong-0.1-r3.apk
2024-10-25 19:09
22K
tncattach-0.1.9-r1.apk
2024-10-25 19:11
22K
py3-coreapi-2.3.3-r9.apk
2024-10-25 19:10
22K
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
22K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 19:10
22K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 19:10
22K
policycoreutils-doc-3.6-r1.apk
2024-10-25 19:10
22K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 19:10
22K
ocaml-mirage-kv-4.0.1-r3.apk
2024-10-25 19:10
22K
gpscorrelate-cli-2.2-r0.apk
2024-11-12 11:07
22K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:08
22K
py3-pymata-2.20-r4.apk
2024-10-25 19:10
23K
perl-data-checks-0.10-r0.apk
2024-10-25 19:10
23K
py3-remind-pyc-0.19.1-r0.apk
2024-10-25 19:10
23K
py3-geoip-1.3.2-r4.apk
2024-10-25 19:10
23K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 19:10
23K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 19:09
23K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 19:09
23K
efibootguard-dev-0.16-r0.apk
2024-10-25 19:09
23K
tree-sitter-scheme-0.23.0-r0.apk
2024-10-25 19:11
23K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:11
23K
htslib-doc-1.19-r0.apk
2024-10-25 19:09
23K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
ntpd-rs-doc-1.4.0-r0.apk
2024-12-14 19:38
23K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 19:09
23K
Kodi
perl-conf-libconfig-1.0.3-r0.apk
2024-10-25 19:10
23K
py3-wgconfig-1.0.3-r0.apk
2024-10-25 19:10
23K
perl-css-object-0.2.0-r0.apk
2024-10-25 19:10
23K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 19:09
23K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:23
23K
perl-database-async-0.019-r0.apk
2024-10-25 19:10
23K
tree-sitter-nix-0_git20230713-r0.apk
2024-10-25 19:11
23K
autotrash-0.4.7-r0.apk
2024-10-25 19:08
23K
opa-doc-0.70.0-r0.apk
2024-11-10 21:17
23K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:10
23K
nlopt-doc-2.8.0-r0.apk
2024-10-25 19:10
23K
zarchive-libs-0.1.2-r2.apk
2024-10-25 19:11
23K
libsemanage-doc-3.6-r1.apk
2024-10-25 19:09
23K
py3-pypandoc-pyc-1.14-r0.apk
2024-12-04 21:56
23K
tayga-0.9.2-r0.apk
2024-10-25 19:10
23K
kanidm-bash-completion-1.4.5-r0.apk
2024-12-21 18:32
23K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 19:09
23K
py3-pysrt-pyc-1.1.2-r4.apk
2024-10-25 19:10
23K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 19:10
23K
tree-sitter-clojure-0.0.12-r0.apk
2024-10-25 19:11
23K
py3-pymata4-1.15-r4.apk
2024-10-25 19:10
23K
pfetch-1.6.0-r0.apk
2024-11-02 10:14
23K
antimicrox-doc-3.4.0-r0.apk
2024-10-25 19:08
23K
pam-krb5-4.11-r1.apk
2024-10-25 19:10
23K
py3-aiowinreg-0.0.12-r0.apk
2024-10-25 19:10
23K
py3-tasklib-2.5.1-r2.apk
2024-10-25 19:10
23K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 19:09
23K
py3-enzyme-0.5.1-r0.apk
2024-10-25 19:10
23K
zita-resampler-1.10.1-r0.apk
2024-10-25 19:11
23K
xmp-4.2.0-r0.apk
2024-10-25 19:11
23K
libcyaml-static-1.4.2-r0.apk
2024-10-25 19:09
23K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 19:10
23K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 19:09
23K
rook-doc-0.2.0-r0.apk
2024-10-25 19:10
23K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 19:09
23K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 19:09
23K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 19:09
23K
py3-compdb-0.2.0-r8.apk
2024-10-25 19:10
23K
spvm-math-1.001-r1.apk
2024-10-25 19:10
23K
harminv-libs-1.4.2-r1.apk
2024-10-25 19:09
23K
surf-2.1-r3.apk
2024-10-25 19:10
23K
libirecovery-1.2.1-r0.apk
2024-10-30 22:44
23K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 19:10
23K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 19:44
23K
admesh-doc-0.98.5-r0.apk
2024-10-25 19:08
23K
i2util-4.2.1-r1.apk
2024-10-25 19:09
23K
shipments-0.3.0-r0.apk
2024-10-25 19:10
23K
emacs-derl-0_git20231004-r0.apk
2024-10-25 19:09
23K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
freealut-dev-1.1.0-r1.apk
2024-10-25 19:09
24K
msgpuck-dev-2.0-r1.apk
2024-10-25 19:10
24K
perl-dns-unbound-0.29-r1.apk
2024-10-25 19:10
24K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 19:10
24K
py3-timeago-1.0.16-r0.apk
2024-10-25 19:10
24K
makedumpfile-doc-1.7.6-r0.apk
2024-10-28 04:12
24K
nwg-displays-0.3.13-r1.apk
2024-10-25 19:10
24K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 19:09
24K
pam-krb5-doc-4.11-r1.apk
2024-10-25 19:10
24K
dived-1.9.0-r0.apk
2024-10-25 19:08
24K
mdnsd-0.12-r1.apk
2024-10-25 19:10
24K
py3-colored-pyc-2.2.4-r0.apk
2024-12-13 19:44
24K
curlftpfs-0.9.2-r3.apk
2024-10-25 19:08
24K
smile-lang-2.9.5-r0.apk
2024-10-25 19:10
24K
perl-promise-xs-0.20-r1.apk
2024-10-25 19:10
24K
py3-discid-1.2.0-r6.apk
2024-10-25 19:10
24K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 19:10
24K
mcjoin-2.11-r0.apk
2024-10-25 19:10
24K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 19:10
24K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 19:10
24K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 19:10
24K
sentrypeer-3.0.2-r0.apk
2024-10-25 19:10
24K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 19:10
24K
py3-ffmpeg-0.2.0-r4.apk
2024-10-25 19:10
24K
cliquer-tests-1.22-r2.apk
2024-10-25 19:08
24K
mame-doc-0.251-r0.apk
2024-10-25 19:10
24K
cliquer-libs-1.22-r2.apk
2024-10-25 19:08
24K
py3-twiggy-0.5.1-r4.apk
2024-10-25 19:10
24K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 19:10
24K
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 19:11
24K
xed-python-3.6.6-r1.apk
2024-12-12 19:33
24K
gupnp-dlna-dev-0.12.0-r0.apk
2024-12-01 12:57
24K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2024-10-25 19:10
24K
lomiri-location-service-lang-3.1.0-r7.apk
2024-10-25 19:09
24K
ocaml-lwt-dllist-1.0.1-r3.apk
2024-10-25 19:10
24K
git-revise-0.7.0-r5.apk
2024-10-25 19:09
24K
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk
2024-10-25 19:10
24K
libm4rie-dev-20200125-r4.apk
2024-10-25 19:09
24K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 19:10
24K
epr-pyc-2.4.15-r1.apk
2024-10-25 19:09
24K
py3-spin-pyc-0.8-r0.apk
2024-10-25 19:10
24K
font-siji-20190218_git-r2.apk
2024-10-25 19:09
24K
php81-zip-8.1.31-r0.apk
2024-11-20 02:36
24K
php81-sodium-8.1.31-r0.apk
2024-11-20 02:36
25K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 19:10
25K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 19:10
25K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 19:10
25K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 19:10
25K
py3-remind-0.19.1-r0.apk
2024-10-25 19:10
25K
hare-madeline-0.1_git20240505-r1.apk
2024-11-28 16:16
25K
libcec-rpi-dev-6.0.2-r4.apk
2024-10-25 19:09
25K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 19:10
25K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 19:10
25K
hare-unicode-0_git20240417-r1.apk
2024-11-28 16:16
25K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:10
25K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:08
25K
ocaml-containers-top-3.7-r2.apk
2024-10-25 19:10
25K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 19:10
25K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 19:09
25K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 19:10
25K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 19:10
25K
py3-dominate-2.9.1-r1.apk
2024-10-25 19:10
25K
numbat-doc-1.9.0-r0.apk
2024-10-25 19:10
25K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 19:10
25K
hare-xkb-0_git20231011-r1.apk
2024-11-28 16:16
25K
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 12:16
25K
pmccabe-2.8-r1.apk
2024-10-25 19:10
25K
snapper-doc-0.12.0-r0.apk
2024-11-20 00:45
25K
py3-queuelib-pyc-1.7.0-r0.apk
2024-10-25 19:10
25K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 19:11
25K
tanidvr-1.4.1-r1.apk
2024-10-25 19:10
25K
pnmixer-lang-0.7.2-r3.apk
2024-10-25 19:10
25K
musikcube-plugin-supereqdsp-3.0.4-r0.apk
2024-10-25 19:10
25K
brltty-static-6.7-r0.apk
2024-10-25 19:08
25K
hilbish-doc-2.3.3-r0.apk
2024-11-29 06:51
25K
3proxy-doc-0.9.4-r1.apk
2024-10-25 19:08
25K
py3-json5-0.9.25-r0.apk
2024-11-30 21:06
25K
knxd-dev-0.14.61-r1.apk
2024-12-14 19:38
25K
mtree-portable-0_git20220519-r0.apk
2024-10-25 19:10
25K
pdfcrack-0.20-r0.apk
2024-10-25 19:10
25K
olsrd-doc-0.9.8-r3.apk
2024-10-25 19:10
25K
php81-common-8.1.31-r0.apk
2024-11-20 02:36
25K
perl-mojo-redis-3.29-r0.apk
2024-10-25 19:10
25K
lshell-doc-0.9.18-r11.apk
2024-10-25 19:09
25K
opkg-utils-0.7.0-r0.apk
2024-10-25 19:10
25K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 19:10
25K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 19:11
25K
parcellite-doc-1.2.5-r0.apk
2024-10-25 19:10
25K
py3-rtree-1.1.0-r2.apk
2024-10-25 19:10
25K
py3-truststore-pyc-0.10.0-r0.apk
2024-10-25 19:10
25K
tnef-1.4.18-r0.apk
2024-10-25 19:11
25K
p0f-doc-3.09b-r3.apk
2024-10-25 19:10
25K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:02
25K
sregex-dev-0.0.1-r1.apk
2024-10-25 19:10
25K
pcsc-perl-1.4.16-r1.apk
2024-10-25 19:10
25K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-10-25 19:10
25K
libmysofa-1.3.2-r0.apk
2024-10-25 19:09
25K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 19:10
25K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 19:10
25K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-10-25 19:10
25K
perl-promise-me-0.5.0-r0.apk
2024-10-25 19:10
26K
ssh-tools-1.8-r0.apk
2024-10-25 19:10
26K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 20:13
26K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 19:10
26K
rvlprog-0.91-r2.apk
2024-10-25 19:10
26K
khronos-lang-4.0.1-r0.apk
2024-10-25 19:09
26K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 19:10
26K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 19:10
26K
wol-0.7.1-r3.apk
2024-10-25 19:11
26K
stubbyboot-efistub-1.0.2-r2.apk
2024-10-25 19:10
26K
xfce4-timer-plugin-1.7.2-r0.apk
2024-10-25 19:11
26K
py3-pysrt-1.1.2-r4.apk
2024-10-25 19:10
26K
py3-libacl-0.7.0-r2.apk
2024-10-25 19:10
26K
ip2location-8.6.1-r0.apk
2024-10-25 19:09
26K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 19:10
26K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 19:10
26K
xfce4-netload-plugin-1.4.1-r0.apk
2024-10-25 19:11
26K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 19:09
26K
Kodi
jedi-language-server-0.42.0-r0.apk
2024-11-09 18:59
26K
py3-unoconv-0.9.0-r2.apk
2024-10-25 19:10
26K
tre-0.8.0-r2.apk
2024-10-25 19:11
26K
flashprog-doc-1.3-r0.apk
2024-11-12 16:00
26K
perl-ryu-4.001-r0.apk
2024-10-25 19:10
26K
libtsm-4.0.2-r1.apk
2024-10-25 19:09
26K
postgresql-hll-2.18-r0.apk
2024-10-25 19:10
26K
py3-dunamai-1.23.0-r0.apk
2024-12-10 07:55
26K
sipgrep-2.2.0-r1.apk
2024-10-25 19:10
26K
perl-rxperl-6.29.8-r0.apk
2024-10-25 19:10
26K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:03
26K
tree-sitter-vimdoc-3.0.0-r1.apk
2024-11-21 20:58
26K
py3-milc-1.9.0-r0.apk
2024-10-25 19:10
26K
dehydrated-0.7.1-r0.apk
2024-10-25 19:08
26K
lrcalc-libs-2.1-r1.apk
2024-10-25 19:09
26K
sfwbar-doc-1.0_beta16-r1.apk
2024-12-12 19:02
26K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 19:10
27K
contractor-0.3.5-r0.apk
2024-11-12 21:56
27K
charls-dev-2.4.2-r0.apk
2024-10-25 19:08
27K
py3-flask-limiter-3.9.2-r0.apk
2024-11-27 22:57
27K
kdiskmark-lang-3.1.4-r1.apk
2024-10-25 19:09
27K
curtail-1.11.1-r0.apk
2024-10-25 19:08
27K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 19:10
27K
debconf-doc-1.5.82-r0.apk
2024-10-25 19:08
27K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 03:57
27K
perl-math-int64-0.57-r1.apk
2024-10-25 19:10
27K
nm-tray-lang-0.5.0-r0.apk
2024-10-25 19:10
27K
admesh-0.98.5-r0.apk
2024-10-25 19:08
27K
libunicode-tools-0.6.0-r1.apk
2024-12-14 19:38
27K
py3-evohome-client-pyc-0.3.7-r4.apk
2024-10-25 19:10
27K
arc-dark-gnome-20221218-r0.apk
2024-10-25 19:08
27K
tree-sitter-vimdoc-dev-3.0.0-r1.apk
2024-11-21 20:58
27K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 19:10
27K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 19:09
27K
vcsh-doc-2.0.5-r0.apk
2024-10-25 19:11
27K
ocaml-mirage-profile-0.9.1-r3.apk
2024-10-25 19:10
27K
py3-mopidy-local-3.2.1-r4.apk
2024-10-25 19:10
27K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
qt-jdenticon-0.3.1-r0.apk
2024-10-25 19:10
27K
py3-mbedtls-pyc-2.10.1-r2.apk
2024-10-25 19:10
27K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 19:10
27K
perl-gearman-2.004.015-r3.apk
2024-10-25 19:10
27K
dwl-0.7-r0.apk
2024-10-25 19:09
27K
perl-nice-try-1.3.15-r0.apk
2024-11-07 05:26
28K
perl-xml-bare-0.53-r13.apk
2024-10-25 19:10
28K
stgit-emacs-2.4.7-r1.apk
2024-10-25 19:10
28K
jdupes-1.28.0-r0.apk
2024-10-25 19:09
28K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 19:10
28K
libaudec-0.3.4-r3.apk
2024-10-25 19:09
28K
py3-recurring-ical-events-pyc-3.3.4-r0.apk
2024-12-05 12:37
28K
py3-bidict-0.23.1-r1.apk
2024-10-25 19:10
28K
py3-ecos-2.0.11-r4.apk
2024-10-25 19:10
28K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 19:09
28K
Kodi
dcnnt-0.10.0-r1.apk
2024-10-25 19:08
28K
libaudec-tools-0.3.4-r3.apk
2024-10-25 19:09
28K
py3-dep-logic-0.4.10-r0.apk
2024-12-15 22:08
28K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:04
28K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 19:10
28K
merlin-vim-4.14-r0.apk
2024-10-25 19:10
28K
octoprint-filecheck-2024.3.27-r1.apk
2024-10-25 19:10
28K
ecos-dev-2.0.10-r0.apk
2024-10-25 19:09
28K
randrctl-1.10.0-r0.apk
2024-11-20 00:45
28K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 19:10
28K
tre-static-0.8.0-r2.apk
2024-10-25 19:11
28K
scrypt-1.3.2-r0.apk
2024-10-25 19:10
28K
eclib-doc-20240408-r1.apk
2024-10-25 19:09
28K
fatback-1.3-r2.apk
2024-10-25 19:09
28K
mimeo-2023-r2.apk
2024-10-25 19:10
28K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 19:10
28K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 19:10
28K
xfce4-places-plugin-1.8.3-r0.apk
2024-10-25 19:11
28K
a2jmidid-9-r3.apk
2024-10-25 19:08
28K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 19:09
28K
perl-barcode-zbar-0.10-r3.apk
2024-10-25 19:10
28K
gcli-doc-2.5.0-r0.apk
2024-10-25 19:09
28K
py3-recurring-ical-events-3.3.4-r0.apk
2024-12-05 12:37
28K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:25
28K
nlopt-octave-2.8.0-r0.apk
2024-10-25 19:10
28K
gmic-bash-completion-3.3.5-r1.apk
2024-11-21 23:19
28K
trigger-rally-doc-0.6.7-r2.apk
2024-10-25 19:11
28K
zita-njbridge-0.4.8-r1.apk
2024-10-25 19:11
28K
py3-sphinx-autodoc-typehints-pyc-2.4.3-r0.apk
2024-10-25 19:10
28K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 19:10
29K
swappy-1.5.1-r0.apk
2024-10-25 19:10
29K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 19:10
29K
merlin-emacs-4.14-r0.apk
2024-10-25 19:10
29K
perl-mojolicious-plugin-openapi-5.09-r0.apk
2024-10-25 19:10
29K
optee-client-dev-3.20.0-r0.apk
2024-10-25 19:10
29K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 19:10
29K
php81-exif-8.1.31-r0.apk
2024-11-20 02:36
29K
libnxml-dev-0.18.3-r0.apk
2024-10-25 19:09
29K
arc-gnome-20221218-r0.apk
2024-10-25 19:08
29K
ocaml-duration-0.2.0-r2.apk
2024-10-25 19:10
29K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 19:10
29K
rdrview-0.1.2-r0.apk
2024-10-25 19:10
29K
py3-rospkg-1.2.9-r5.apk
2024-10-25 19:10
29K
py3-tokenizers-pyc-0.21.0-r0.apk
2024-11-27 19:54
29K
neo4j-client-2.2.0-r3.apk
2024-10-25 19:10
29K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 19:09
29K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 19:10
29K
ttfautohint-1.8.4-r0.apk
2024-10-25 19:11
29K
libcli-1.10.7-r0.apk
2024-10-25 19:09
29K
perl-database-async-doc-0.019-r0.apk
2024-10-25 19:10
29K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 19:08
29K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 19:10
29K
ktx-dev-4.3.2-r0.apk
2024-10-25 19:09
29K
primecount-7.14-r0.apk
2024-10-25 19:10
29K
fpp-0.9.5-r0.apk
2024-10-25 19:09
29K
irctk-1.1.0-r0.apk
2024-10-25 19:09
29K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 19:10
29K
soapy-hackrf-0.3.4-r2.apk
2024-10-25 19:10
29K
libguestfs-dev-1.52.0-r1.apk
2024-10-25 19:09
29K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 19:10
29K
py3-json5-pyc-0.9.25-r0.apk
2024-11-30 21:06
29K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 19:10
29K
perl-file-mmagic-xs-0.09008-r4.apk
2024-10-25 19:10
29K
cliquer-static-1.22-r2.apk
2024-10-25 19:08
29K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 19:10
29K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 19:10
30K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:43
30K
py3-gtkspellcheck-pyc-5.0.3-r0.apk
2024-12-07 20:32
30K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 19:10
30K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 19:10
30K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 19:10
30K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 19:09
30K
php83-pecl-eio-3.1.3-r0.apk
2024-10-25 19:10
30K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 19:10
30K
slidge-matridge-0.1.0-r0.apk
2024-10-25 19:10
30K
lomiri-indicator-location-0_git20231227-r0.apk
2024-10-25 19:09
30K
it87-src-1_p20240609-r0.apk
2024-10-25 19:09
30K
nvim-treesitter-doc-0.9.3-r0.apk
2024-11-04 03:04
30K
lxappearance-0.6.3-r3.apk
2024-10-25 19:09
30K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 19:10
30K
py3-findpython-pyc-0.6.2-r0.apk
2024-10-25 19:10
30K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 19:10
30K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 19:08
30K
rtmidi-6.0.0-r0.apk
2024-10-25 19:10
30K
materia-kde-kvantum-20220823-r0.apk
2024-10-25 19:10
30K
php81-ldap-8.1.31-r0.apk
2024-11-20 02:36
30K
py3-spotipy-2.24.0-r1.apk
2024-10-25 19:10
30K
libcork-dev-0.15.0-r7.apk
2024-10-25 19:09
30K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 19:10
30K
py3-pytest-regtest-pyc-2.3.2-r0.apk
2024-11-13 23:12
30K
py3-spake2-0.9-r0.apk
2024-10-25 19:10
30K
crossplane-0.5.8-r3.apk
2024-10-25 19:08
30K
ffms2-doc-5.0-r0.apk
2024-10-25 19:09
30K
isomd5sum-1.2.3-r3.apk
2024-10-25 19:09
30K
py3-pyte-0.8.2-r2.apk
2024-10-25 19:10
30K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 19:10
30K
par-doc-1.53.0-r1.apk
2024-10-25 19:10
30K
libxml++-dev-5.0.3-r1.apk
2024-10-25 19:09
30K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 19:10
30K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 19:10
30K
py3-qbittorrent-api-doc-2024.11.70-r0.apk
2024-11-25 14:13
30K
libmrss-dev-0.19.2-r1.apk
2024-10-25 19:09
30K
musikcube-plugin-openmpt-3.0.4-r0.apk
2024-10-25 19:10
31K
nvim-lualine-doc-0.0.0_git20241101-r0.apk
2024-11-13 23:12
31K
libaudec-static-0.3.4-r3.apk
2024-10-25 19:09
31K
tang-dbg-14-r0.apk
2024-10-25 19:10
31K
xfce4-fsguard-plugin-lang-1.1.3-r0.apk
2024-10-25 19:11
31K
xfce4-systemload-plugin-1.3.2-r0.apk
2024-10-25 19:11
31K
jbigkit-dev-2.1-r2.apk
2024-10-25 19:09
31K
ocp-index-doc-1.3.6-r0.apk
2024-10-25 19:10
31K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 19:09
31K
ovos-gui-1.1.0-r0.apk
2024-11-25 12:41
31K
ldapdomaindump-pyc-0.9.4-r1.apk
2024-10-25 19:09
31K
py3-rich-click-1.7.3-r1.apk
2024-10-25 19:10
31K
ruby-simplecov-0.22.0-r0.apk
2024-10-25 19:10
31K
paprefs-1.2-r2.apk
2024-11-22 22:11
31K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 19:10
31K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 19:10
31K
perl-time-moment-doc-0.44-r0.apk
2024-10-25 19:10
31K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 19:10
31K
blip-doc-0.10-r0.apk
2024-10-25 19:08
31K
py3-sphinx-autoapi-3.4.0-r0.apk
2024-12-04 21:56
31K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 19:10
31K
tcl-curl-7.22.0-r0.apk
2024-10-25 19:10
31K
libbamf-doc-0.5.6-r1.apk
2024-10-25 19:09
31K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 19:10
31K
randrctl-pyc-1.10.0-r0.apk
2024-11-20 00:45
31K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 19:08
31K
lomiri-download-manager-lang-0.1.3-r3.apk
2024-10-25 19:09
31K
plplot-5.15.0-r2.apk
2024-10-25 19:10
31K
lizardfs-cgi-3.13.0-r14.apk
2024-12-04 11:57
31K
tree-sitter-ron-0.2.0-r0.apk
2024-10-25 19:11
31K
mp3gain-1.6.2-r2.apk
2024-10-25 19:10
31K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 19:08
31K
pounce-3.1-r3.apk
2024-10-25 19:10
31K
libm4ri-dev-20240729-r1.apk
2024-10-25 19:09
31K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 19:10
32K
py3-radon-6.0.1-r2.apk
2024-10-25 19:10
32K
materia-gnome-shell-20210322-r1.apk
2024-10-25 19:10
32K
materia-dark-gnome-shell-20210322-r1.apk
2024-10-25 19:10
32K
libfort-0.4.2-r0.apk
2024-10-25 19:09
32K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 19:10
32K
lomiri-location-service-dev-3.1.0-r7.apk
2024-10-25 19:09
32K
materia-dark-compact-gnome-shell-20210322-r1.apk
2024-10-25 19:10
32K
materia-compact-gnome-shell-20210322-r1.apk
2024-10-25 19:10
32K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 19:10
32K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-10-25 19:10
32K
unit-php81-1.34.0-r0.apk
2024-12-20 10:04
32K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 19:10
32K
php81-imap-8.1.31-r0.apk
2024-11-20 02:36
32K
kanidm-zsh-completion-1.4.5-r0.apk
2024-12-21 18:32
32K
mm-common-doc-1.0.5-r0.apk
2024-10-25 19:10
32K
mnamer-2.5.5-r1.apk
2024-10-25 19:10
32K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-10-25 19:10
32K
dfl-sni-0.2.0-r0.apk
2024-10-25 19:08
32K
opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk
2024-11-11 18:08
32K
moosefs-metalogger-3.0.117-r2.apk
2024-10-25 19:10
32K
py3-pysonic-pyc-1.0.1-r1.apk
2024-10-25 19:10
32K
lomiri-trust-store-lang-2.0.2-r6.apk
2024-10-25 19:09
32K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 19:10
32K
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 19:10
32K
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 19:09
32K
py3-c3d-0.5.2-r1.apk
2024-10-25 19:10
32K
linuxptp-nsm-4.4-r0.apk
2024-11-20 00:45
32K
btfs-2.24-r12.apk
2024-10-25 19:08
32K
py3-yapsy-1.12.2-r7.apk
2024-10-25 19:10
32K
fox-calculator-1.6.57-r0.apk
2024-10-25 19:09
32K
py3-furl-pyc-2.1.3-r4.apk
2024-10-25 19:10
32K
spacenavd-1.2-r0.apk
2024-10-25 19:10
32K
handlebars-dev-1.0.0-r1.apk
2024-10-25 19:09
32K
py3-lunr-0.6.2-r4.apk
2024-10-25 19:10
32K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 19:10
32K
foolsm-1.0.21-r0.apk
2024-10-25 19:09
33K
ocaml-hex-1.5.0-r2.apk
2024-10-25 19:10
33K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 19:10
33K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 19:10
33K
py3-pysubs2-1.7.3-r0.apk
2024-10-25 19:10
33K
ngs-aws-0.2.14-r0.apk
2024-10-25 19:10
33K
tree-sitter-xml-0.7.0-r0.apk
2024-11-14 13:09
33K
py3-qt.py-1.3.10-r1.apk
2024-10-25 19:10
33K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 19:08
33K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 19:10
33K
py3-ffmpeg-pyc-0.2.0-r4.apk
2024-10-25 19:10
33K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 19:10
33K
py3-pathvalidate-pyc-3.2.1-r0.apk
2024-10-25 19:10
33K
hddfancontrol-1.6.2-r0.apk
2024-10-25 19:09
33K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 19:08
33K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 19:10
33K
pptpclient-1.10.0-r5.apk
2024-10-25 19:10
33K
droidcam-gui-2.1.3-r1.apk
2024-11-08 21:25
33K
lomiri-api-0.2.1-r1.apk
2024-10-25 19:09
33K
ocaml-ca-certs-0.2.2-r2.apk
2024-10-25 19:10
33K
bakelite-0.4.2-r0.apk
2024-10-25 19:08
33K
urlwatch-doc-2.28-r2.apk
2024-10-25 19:11
33K
perl-json-validator-doc-5.14-r0.apk
2024-10-25 19:10
33K
mpop-doc-1.4.20-r1.apk
2024-10-25 19:10
33K
lomiri-indicator-location-lang-0_git20231227-r0.apk
2024-10-25 19:09
33K
ocaml-mirage-clock-4.2.0-r2.apk
2024-10-25 19:10
33K
lomiri-api-dev-0.2.1-r1.apk
2024-10-25 19:09
33K
musikcube-plugin-taglibreader-3.0.4-r0.apk
2024-10-25 19:10
33K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:46
33K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 19:10
34K
i3status-rust-doc-0.33.2-r0.apk
2024-11-11 03:20
34K
elementary-camera-lang-8.0.0-r0.apk
2024-10-27 14:20
34K
bgpq4-1.15-r0.apk
2024-10-25 19:08
34K
py3-xapp-2.4.2-r0.apk
2024-11-12 11:04
34K
py3-unicorn-2.0.1-r4.apk
2024-10-25 19:10
34K
jhead-3.08-r0.apk
2024-10-25 19:09
34K
jalv-gtk-1.6.8-r1.apk
2024-10-25 19:09
34K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 19:10
34K
py3-hishel-0.0.33-r0.apk
2024-10-25 19:10
34K
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk
2024-10-25 19:10
34K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 19:10
34K
aufs-util-doc-20161219-r3.apk
2024-10-25 19:08
34K
py3-ovos-config-pyc-1.0.0-r0.apk
2024-11-25 12:41
34K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 19:09
34K
trantor-dev-1.5.18-r0.apk
2024-10-25 19:11
34K
py3-trivup-0.12.2-r2.apk
2024-10-25 19:10
34K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 19:09
34K
bcg729-1.1.1-r0.apk
2024-10-25 19:08
34K
libqtdbustest-0.3.2-r1.apk
2024-10-25 19:09
34K
py3-mopidy-local-pyc-3.2.1-r4.apk
2024-10-25 19:10
34K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 19:10
34K
ocaml-ezxmlm-1.1.0-r0.apk
2024-10-25 19:10
34K
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-10-25 19:10
34K
dotnet-host-6.0.36-r1.apk
2024-11-25 04:51
34K
libnbcompat-1.0.2-r0.apk
2024-10-25 19:09
34K
aravis-dev-0.8.31-r0.apk
2024-10-25 19:08
34K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 19:08
34K
tree-sitter-hare-0_git20230616-r1.apk
2024-10-25 19:11
34K
usbmuxd-1.1.1-r8.apk
2024-10-30 22:44
34K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 19:10
35K
mono-lang-6.12.0.205-r1.apk
2024-10-25 19:10
35K
dfl-applications-0.2.0-r0.apk
2024-10-25 19:08
35K
ruff-lsp-pyc-0.0.53-r0.apk
2024-10-25 19:10
35K
php81-session-8.1.31-r0.apk
2024-11-20 02:36
35K
py3-pysonic-1.0.1-r1.apk
2024-10-25 19:10
35K
tabby-3.1-r1.apk
2024-10-25 19:10
35K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 19:10
35K
nwg-displays-pyc-0.3.13-r1.apk
2024-10-25 19:10
35K
libmdf-1.0.29-r0.apk
2024-10-25 19:09
35K
py3-limits-3.13.0-r1.apk
2024-10-25 19:10
35K
vcstool-0.3.0-r5.apk
2024-10-25 19:11
35K
mint-x-theme-xfwm4-2.1.1-r0.apk
2024-10-25 19:10
35K
perl-math-random-0.72-r0.apk
2024-10-25 19:10
35K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 19:08
35K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 19:10
35K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 19:10
35K
linuxptp-pmc-4.4-r0.apk
2024-11-20 00:45
35K
srain-lang-1.8.0-r0.apk
2024-11-20 00:45
35K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 19:10
35K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 19:09
35K
luapak-0.1.0_beta5-r0.apk
2024-10-25 19:09
35K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:10
35K
lshell-pyc-0.9.18-r11.apk
2024-10-25 19:09
35K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 19:10
35K
mat2-0.13.4-r3.apk
2024-10-25 19:10
35K
perl-ryu-doc-4.001-r0.apk
2024-10-25 19:10
35K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 19:11
35K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 19:08
35K
linuxptp-ts2phc-4.4-r0.apk
2024-11-20 00:45
35K
php81-sockets-8.1.31-r0.apk
2024-11-20 02:36
35K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 19:10
36K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:10
36K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 19:10
36K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 19:09
36K
Kodi
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:10
36K
py3-tidalapi-0.7.4-r1.apk
2024-10-25 19:10
36K
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 19:10
36K
libcork-0.15.0-r7.apk
2024-10-25 19:09
36K
razercfg-pyc-0.42-r7.apk
2024-10-25 19:10
36K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 19:10
36K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 19:10
36K
ocaml-rresult-dev-0.7.0-r2.apk
2024-10-25 19:10
36K
hardened-malloc-13-r0.apk
2024-10-25 19:09
36K
litterbox-1.9-r1.apk
2024-10-25 19:09
36K
gutenprint-dev-5.3.4-r5.apk
2024-10-25 19:09
36K
dfu-programmer-1.1.0-r0.apk
2024-10-25 19:08
36K
php81-curl-8.1.31-r0.apk
2024-11-20 02:36
36K
py3-pytaglib-1.5.0-r3.apk
2024-10-25 19:10
36K
cocogitto-doc-6.2.0-r1.apk
2024-12-12 21:08
36K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 19:10
36K
py3-librtmp-0.3.0-r6.apk
2024-10-25 19:10
36K
qperf-0.4.11-r1.apk
2024-10-25 19:10
36K
ovos-audio-pyc-0.3.1-r0.apk
2024-11-21 13:31
36K
linuxptp-phc2sys-4.4-r0.apk
2024-11-20 00:45
36K
spnavcfg-1.1-r0.apk
2024-10-25 19:10
36K
libmpfi-1.5.4-r2.apk
2024-10-25 19:09
36K
xfce4-docklike-plugin-lang-0.4.2-r0.apk
2024-10-25 19:11
36K
py3-cstruct-pyc-5.3-r1.apk
2024-10-25 19:10
36K
showtime-lang-47.0-r0.apk
2024-11-08 01:32
36K
moon-buggy-1.0.51-r1.apk
2024-10-25 19:10
36K
ansible-bender-0.10.1-r2.apk
2024-10-25 19:08
36K
peg-0.1.18-r1.apk
2024-10-25 19:10
36K
ppl-1.2-r1.apk
2024-10-25 19:10
36K
lshell-0.9.18-r11.apk
2024-10-25 19:09
36K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 19:10
36K
endless-sky-doc-0.10.2-r0.apk
2024-10-25 19:09
37K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 19:10
37K
burp-server-3.1.4-r0.apk
2024-10-25 19:08
37K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 19:10
37K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
37K
darts-clone-0_git20181117-r0.apk
2024-10-25 19:08
37K
ttdl-doc-4.7.0-r0.apk
2024-11-26 19:05
37K
py3-redmine-2.5.0-r0.apk
2024-10-25 19:10
37K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 19:09
37K
lomiri-calculator-app-lang-4.0.2-r0.apk
2024-10-25 19:09
37K
perl-test-unit-0.27-r0.apk
2024-10-25 19:10
37K
py3-qasync-0.19.0-r2.apk
2024-10-25 19:10
37K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 19:10
37K
py3-astral-3.2-r3.apk
2024-10-25 19:10
37K
perl-libapreq2-doc-2.17-r2.apk
2024-10-25 19:10
37K
py3-aesedb-0.1.6-r2.apk
2024-10-25 19:10
37K
jedi-language-server-pyc-0.42.0-r0.apk
2024-11-09 18:59
37K
rtptools-1.22-r2.apk
2024-10-25 19:10
37K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 19:10
37K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 19:10
38K
libmedc-dev-4.1.1-r4.apk
2024-10-25 19:09
38K
arc-gtk2-20221218-r0.apk
2024-10-25 19:08
38K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 19:08
38K
csol-1.6.0-r0.apk
2024-10-25 19:08
38K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 19:10
38K
symbiyosys-0.36-r0.apk
2024-10-25 19:10
38K
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
38K
gmenuharness-0.1.4-r1.apk
2024-10-25 19:09
38K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 19:10
38K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 19:10
38K
flamegraph-1.0_git20220918-r1.apk
2024-10-25 19:09
38K
ecos-2.0.10-r0.apk
2024-10-25 19:09
38K
materia-dark-compact-gtk2-20210322-r1.apk
2024-10-25 19:10
38K
materia-dark-gtk2-20210322-r1.apk
2024-10-25 19:10
38K
py3-sh-2.1.0-r0.apk
2024-11-01 15:13
38K
libtcmu-1.6.0-r6.apk
2024-10-25 19:09
38K
materia-gtk2-20210322-r1.apk
2024-10-25 19:10
38K
materia-compact-gtk2-20210322-r1.apk
2024-10-25 19:10
38K
xfce4-mpc-plugin-lang-0.5.3-r0.apk
2024-10-25 19:11
38K
paprefs-lang-1.2-r2.apk
2024-11-22 22:11
38K
slidge-matridge-pyc-0.1.0-r0.apk
2024-10-25 19:10
38K
alttab-1.7.1-r0.apk
2024-10-25 19:08
38K
nlopt-guile-2.8.0-r0.apk
2024-10-25 19:10
38K
turnstile-0.1.10-r3.apk
2024-10-25 19:11
38K
logwatch-doc-7.10-r1.apk
2024-10-25 19:09
38K
sigrok-cli-0.7.2-r0.apk
2024-10-25 19:10
38K
ocaml-gmap-0.3.0-r2.apk
2024-10-25 19:10
38K
wput-0.6.2-r4.apk
2024-10-25 19:11
38K
ovos-gui-pyc-1.1.0-r0.apk
2024-11-25 12:41
38K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 19:08
38K
zycore-dev-1.5.0-r0.apk
2024-10-25 19:11
38K
libopensles-standalone-0_git20240221-r0.apk
2024-10-25 19:09
38K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 19:10
38K
linuxptp-doc-4.4-r0.apk
2024-11-20 00:45
38K
repo-doc-2.50.1-r0.apk
2024-12-20 20:12
38K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 19:08
39K
spampd-2.61-r1.apk
2024-10-25 19:10
39K
extundelete-0.2.4-r1.apk
2024-10-25 19:09
39K
libctl-dev-4.5.1-r1.apk
2024-10-25 19:09
39K
php81-mysqli-8.1.31-r0.apk
2024-11-20 02:36
39K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 19:09
39K
ecasound-doc-2.9.3-r3.apk
2024-10-25 19:09
39K
howard-bc-doc-7.0.3-r0.apk
2024-10-25 19:09
39K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 19:09
39K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 19:10
39K
wbg-1.2.0-r0.apk
2024-10-25 19:11
39K
plfit-static-1.0.0-r0.apk
2024-11-22 04:34
39K
xfce4-systemload-plugin-lang-1.3.2-r0.apk
2024-10-25 19:11
39K
sblg-0.5.11-r0.apk
2024-10-25 19:10
39K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 19:09
39K
gf2x-1.3.0-r1.apk
2024-10-25 19:09
39K
nfoview-2.0.1-r0.apk
2024-10-25 19:10
39K
libserialport-dev-0.1.1-r1.apk
2024-10-25 19:09
39K
perl-time-moment-0.44-r0.apk
2024-10-25 19:10
39K
theme.sh-1.1.5-r0.apk
2024-10-25 19:11
39K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2024-10-25 19:10
39K
py3-manuel-1.13.0-r0.apk
2024-11-30 20:13
39K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 19:10
39K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 19:09
39K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 19:10
39K
pixiewps-1.4.2-r1.apk
2024-10-25 19:10
39K
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 19:10
39K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 19:08
39K
py3-rpio-0.10.1-r8.apk
2024-10-25 19:10
39K
normaliz-3.10.4-r0.apk
2024-10-30 13:54
39K
perl-net-curl-doc-0.56-r1.apk
2024-10-25 19:10
39K
py3-empy-3.3.4-r7.apk
2024-10-25 19:10
39K
optee-client-libs-3.20.0-r0.apk
2024-10-25 19:10
39K
py3-pyte-pyc-0.8.2-r2.apk
2024-10-25 19:10
39K
sturmreader-lang-3.7.2-r0.apk
2024-10-25 19:10
39K
soapy-bladerf-0.4.1-r0.apk
2024-10-25 19:10
40K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 19:10
40K
kismet-nrf-51822-0.202307.1-r4.apk
2024-11-11 18:08
40K
py3-fpdf-1.7.2-r5.apk
2024-10-25 19:10
40K
apache2-mod-perl-dev-2.0.13-r1.apk
2024-10-25 19:08
40K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:10
40K
py3-sphobjinv-2.3.1.1-r0.apk
2024-10-25 19:10
40K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-10-25 19:10
40K
php81-pdo-8.1.31-r0.apk
2024-11-20 02:36
40K
py3-feedgen-1.0.0-r1.apk
2024-10-25 19:10
40K
py3-pyzor-1.0.0-r11.apk
2024-10-25 19:10
40K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:10
40K
solanum-lang-5.0.0-r0.apk
2024-10-25 19:10
40K
php83-pecl-phpy-1.0.8-r0.apk
2024-10-25 19:10
40K
xfce4-verve-plugin-lang-2.0.3-r0.apk
2024-10-25 19:11
40K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 19:10
40K
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk
2024-11-11 18:08
40K
avra-1.4.2-r0.apk
2024-10-25 19:08
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
peervpn-0.044-r5.apk
2024-10-25 19:10
40K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 19:10
40K
libtommath-1.2.1-r0.apk
2024-10-25 19:09
41K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-10-25 19:10
41K
php83-pecl-ev-1.2.0-r0.apk
2024-10-25 19:10
41K
supercollider-dev-3.13.0-r5.apk
2024-10-25 19:10
41K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 19:10
41K
php84-pecl-ev-1.2.0-r1.apk
2024-10-25 19:10
41K
youtube-viewer-doc-3.11.1-r0.apk
2024-10-25 19:11
41K
py3-piper-tts-2023.11.14.2-r9.apk
2024-12-18 12:16
41K
gupnp-av-dev-0.14.1-r0.apk
2024-12-01 12:57
41K
imapfilter-2.8.2-r0.apk
2024-10-25 19:09
41K
py3-irc-20.4.1-r0.apk
2024-10-25 19:10
41K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 19:10
41K
openfortivpn-1.22.1-r0.apk
2024-12-11 21:34
41K
yices2-dev-2.6.4-r0.apk
2024-10-25 19:11
41K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:03
41K
materia-dark-compact-gtk3-20210322-r1.apk
2024-10-25 19:10
41K
materia-dark-gtk3-20210322-r1.apk
2024-10-25 19:10
41K
py3-unearth-0.17.2-r0.apk
2024-10-25 19:10
41K
plfit-libs-1.0.0-r0.apk
2024-11-22 04:34
41K
kismet-nxp-kw41z-0.202307.1-r4.apk
2024-11-11 18:08
41K
mimeo-pyc-2023-r2.apk
2024-10-25 19:10
42K
imediff-2.6-r1.apk
2024-10-25 19:09
42K
reaction-tools-1.4.1-r1.apk
2024-10-25 19:10
42K
py3-milc-pyc-1.9.0-r0.apk
2024-10-25 19:10
42K
mergerfs-doc-2.40.2-r1.apk
2024-10-25 19:10
42K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 19:11
42K
waycheck-1.5.0-r0.apk
2024-12-21 22:51
42K
primesieve-12.6-r0.apk
2024-12-14 18:12
42K
azpainter-doc-3.0.9-r0.apk
2024-10-25 19:08
42K
lomiri-content-hub-lang-2.0.0-r0.apk
2024-10-25 19:09
42K
granite7-dev-7.5.0-r0.apk
2024-10-25 19:09
42K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 19:10
42K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 19:09
42K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:10
42K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 19:11
42K
sflowtool-6.02-r0.apk
2024-10-25 19:10
42K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 19:10
42K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 19:38
42K
uxn-1.0-r0.apk
2024-10-25 19:11
42K
py3-diskcache-5.6.3-r2.apk
2024-10-25 19:10
42K
libfyaml-dev-0.9-r0.apk
2024-10-25 19:09
42K
nuklear-doc-4.12.0-r0.apk
2024-10-25 19:10
42K
volumeicon-0.5.1-r1.apk
2024-10-25 19:11
42K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 19:08
42K
py3-colander-pyc-2.0-r2.apk
2024-10-25 19:10
42K
rygel-dev-0.44.1-r0.apk
2024-12-01 12:57
43K
liberasurecode-1.6.3-r1.apk
2024-10-25 19:09
43K
php81-pgsql-8.1.31-r0.apk
2024-11-20 02:36
43K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 19:10
43K
fast_float-5.2.0-r1.apk
2024-10-25 19:09
43K
py3-dunamai-pyc-1.23.0-r0.apk
2024-12-10 07:55
43K
py3-bookkeeper-4.17.1-r0.apk
2024-10-25 19:10
43K
ocaml-rresult-0.7.0-r2.apk
2024-10-25 19:10
43K
kismet-linux-bluetooth-0.202307.1-r4.apk
2024-11-11 18:08
43K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 19:10
43K
hangover-wine-doc-9.5-r0.apk
2024-10-25 19:09
43K
py3-ovos-config-1.0.0-r0.apk
2024-11-25 12:41
43K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 19:10
43K
py3-cdio-pyc-2.1.1-r5.apk
2024-10-25 19:10
43K
libantic-0.2.5-r0.apk
2024-10-25 19:09
43K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 19:10
43K
cpufetch-1.06-r0.apk
2024-10-25 19:08
43K
cava-0.10.3-r0.apk
2024-11-20 00:45
43K
plzip-1.11-r1.apk
2024-10-25 19:10
43K
hub-doc-2.14.2-r26.apk
2024-10-25 19:09
43K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-10-25 19:10
43K
somebar-1.0.3-r0.apk
2024-10-25 19:10
43K
xone-src-0.3_git20230517-r0.apk
2024-10-25 19:11
43K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 19:09
43K
elementary-feedback-lang-8.0.0-r0.apk
2024-10-27 14:17
43K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 19:10
44K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 19:10
44K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 19:10
44K
imediff-pyc-2.6-r1.apk
2024-10-25 19:09
44K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 19:10
44K
elementary-feedback-8.0.0-r0.apk
2024-10-27 14:17
44K
py3-mistletoe-1.2.1-r2.apk
2024-10-25 19:10
44K
apulse-0.1.13-r2.apk
2024-10-25 19:08
44K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 19:10
44K
litehtml-dev-0.9-r0.apk
2024-10-25 19:09
44K
py3-rtree-pyc-1.1.0-r2.apk
2024-10-25 19:10
44K
showtime-47.0-r0.apk
2024-11-08 01:32
44K
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 19:09
44K
Kodi
libbsoncxx-3.8.0-r0.apk
2024-10-25 19:09
44K
perl-xml-stream-1.24-r0.apk
2024-10-25 19:10
44K
sentinel-proxy-2.1.0-r0.apk
2024-10-25 19:10
44K
py3-shodan-1.31.0-r1.apk
2024-10-25 19:10
44K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 19:10
44K
s-postgray-0.8.3-r0.apk
2024-10-25 19:10
44K
ocaml-duration-dev-0.2.0-r2.apk
2024-10-25 19:10
44K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-10-25 19:10
44K
ubase-20200605-r3.apk
2024-10-25 19:11
44K
xfce4-panel-profiles-lang-1.0.14-r1.apk
2024-10-25 19:11
44K
xfce4-netload-plugin-lang-1.4.1-r0.apk
2024-10-25 19:11
44K
calibre-zsh-completion-7.23.0-r0.apk
2024-12-21 03:30
44K
sstp-client-1.0.20-r1.apk
2024-12-01 17:03
44K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 19:10
45K
dooit-3.1.0-r0.apk
2024-12-07 20:23
45K
py3-gtkspellcheck-5.0.3-r0.apk
2024-12-07 20:32
45K
pantalaimon-0.10.5-r4.apk
2024-10-25 19:10
45K
lomiri-url-dispatcher-0.1.3-r2.apk
2024-10-25 19:09
45K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 19:10
45K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 19:10
45K
gpscorrelate-2.2-r0.apk
2024-11-12 11:07
45K
dislocker-libs-0.7.3-r5.apk
2024-10-25 19:08
45K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 19:09
45K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 19:10
45K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 19:10
45K
py3-pycosat-0.6.6-r2.apk
2024-10-25 19:10
45K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 19:10
45K
persistent-cache-cpp-1.0.7-r2.apk
2024-10-25 19:10
45K
bananui-daemons-0.1.0-r0.apk
2024-10-25 19:08
45K
sndfile-tools-1.5-r1.apk
2024-10-25 19:10
45K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 19:08
45K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 19:09
45K
py3-ovos-bus-client-1.0.4-r0.apk
2024-11-21 13:31
45K
py3-aiowinreg-pyc-0.0.12-r0.apk
2024-10-25 19:10
45K
endeavour-dev-43.0-r2.apk
2024-12-08 21:43
46K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 19:10
46K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 19:09
46K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 19:10
46K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 19:09
46K
php81-pecl-memcached-3.3.0-r0.apk
2024-10-25 19:10
46K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 19:10
46K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 19:09
46K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 19:10
46K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 19:10
46K
ocaml-stringext-1.6.0-r2.apk
2024-10-25 19:10
46K
tree-sitter-hcl-1.1.0-r1.apk
2024-10-25 19:11
46K
bionic_translation-0_git20240525-r0.apk
2024-10-25 19:08
46K
fusesoc-2.3-r0.apk
2024-10-25 19:09
46K
py3-marshmallow-3.23.1-r0.apk
2024-11-02 01:23
46K
mesa-asahi-glapi-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
46K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:02
46K
spread-sheet-widget-0.10-r0.apk
2024-10-25 19:10
46K
goomwwm-1.0.0-r5.apk
2024-10-25 19:09
46K
libqofono-dev-0.123-r1.apk
2024-10-25 19:09
47K
pasystray-0.8.2-r0.apk
2024-10-25 19:10
47K
gssdp-1.6.3-r0.apk
2024-12-01 12:57
47K
gtkhash-lang-1.5-r0.apk
2024-10-25 19:09
47K
py3-gls-1.3.1-r1.apk
2024-10-25 19:10
47K
gsettings-qt-0.2_git20220807-r1.apk
2024-10-25 19:09
47K
py3-distorm3-3.5.2-r6.apk
2024-10-25 19:10
47K
gpg-remailer-3.04.07-r1.apk
2024-10-25 19:09
47K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 19:10
47K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 19:10
47K
py3-flask-limiter-pyc-3.9.2-r0.apk
2024-11-27 22:57
47K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 19:10
47K
clevis-19-r0.apk
2024-10-25 19:08
47K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 19:08
47K
py3-markdown2-2.5.0-r0.apk
2024-10-25 19:10
47K
py3-soappy-0.52.30-r0.apk
2024-12-04 11:57
47K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 19:10
47K
ocaml-ethernet-3.0.0-r3.apk
2024-10-25 19:10
47K
meli-doc-0.8.10-r0.apk
2024-12-16 10:09
47K
acmetool-doc-0.2.2-r8.apk
2024-10-25 19:08
47K
lomiri-libusermetrics-lang-1.3.3-r0.apk
2024-10-25 19:09
47K
postgresql-pg_partman-doc-5.0.0-r0.apk
2024-10-25 19:10
47K
py3-pbs-installer-2024.10.16-r0.apk
2024-10-25 19:10
47K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:05
47K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 19:10
47K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-10-25 19:10
48K
startup-doc-2.0.3-r5.apk
2024-10-25 19:10
48K
polyglot-doc-2.0.4-r1.apk
2024-10-25 19:10
48K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 19:10
48K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-20 00:45
48K
perl-regexp-grammars-doc-1.058-r0.apk
2024-10-25 19:10
48K
spiritvnc-0.6.5-r0.apk
2024-11-03 05:09
48K
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk
2024-11-11 18:08
48K
watchdog-5.16-r2.apk
2024-10-25 19:11
48K
cvs-fast-export-1.65-r0.apk
2024-10-25 19:08
48K
mympd-doc-19.0.1-r0.apk
2024-12-15 22:08
48K
tremc-0.9.3-r0.apk
2024-10-25 19:11
48K
fplll-5.5.0-r0.apk
2024-11-20 00:45
48K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 19:10
48K
ocaml-uuseg-dev-14.0.0-r2.apk
2024-10-25 19:10
48K
levmar-dev-2.6-r0.apk
2024-10-25 19:09
48K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 19:10
48K
ruby-yard-doc-0.9.37-r0.apk
2024-10-25 19:10
48K
i2util-dev-4.2.1-r1.apk
2024-10-25 19:09
48K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 19:10
48K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 19:10
49K
ocaml-mtime-dev-1.4.0-r2.apk
2024-10-25 19:10
49K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 19:08
49K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 19:10
49K
urlwatch-2.28-r2.apk
2024-10-25 19:11
49K
coxeter-3.0-r1.apk
2024-10-25 19:08
49K
parcellite-lang-1.2.5-r0.apk
2024-10-25 19:10
49K
ocaml-uuidm-0.9.8-r2.apk
2024-10-25 19:10
49K
xfce4-timer-plugin-lang-1.7.2-r0.apk
2024-10-25 19:11
49K
perl-minion-doc-10.31-r0.apk
2024-10-25 19:10
49K
aravis-0.8.31-r0.apk
2024-10-25 19:08
49K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 19:10
49K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:09
49K
lynis-doc-3.1.1-r0.apk
2024-10-25 19:09
49K
xwaylandvideobridge-0.4.0-r1.apk
2024-10-25 19:11
49K
createrepo_c-1.1.4-r0.apk
2024-10-25 19:08
49K
libantlr3c-3.4-r3.apk
2024-10-25 19:09
49K
apk-tools3-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
49K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 19:10
50K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:43
50K
py3-spotipy-pyc-2.24.0-r1.apk
2024-10-25 19:10
50K
swaks-doc-20240103.0-r0.apk
2024-10-25 19:10
50K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 19:10
50K
tcc-doc-0.9.27_git20241020-r1.apk
2024-10-25 19:10
50K
fox-pathfinder-1.6.57-r0.apk
2024-10-25 19:09
50K
libzn_poly-0.9.2-r2.apk
2024-10-25 19:09
50K
py3-requests-cache-1.2.1-r1.apk
2024-11-20 00:45
50K
ytmdl-2024.08.15.1-r0.apk
2024-10-25 19:11
50K
py3-dt-schema-pyc-2024.11-r0.apk
2024-11-11 18:46
50K
barman-doc-3.11.1-r0.apk
2024-10-25 19:08
50K
gupnp-dev-1.6.7-r0.apk
2024-12-01 12:57
50K
lima-doc-1.0.2-r0.apk
2024-12-07 20:44
50K
xfce4-diskperf-plugin-lang-2.7.0-r0.apk
2024-10-25 19:11
50K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 19:10
50K
pure-data-dev-0.54.1-r0.apk
2024-10-25 19:10
50K
jalv-1.6.8-r1.apk
2024-10-25 19:09
51K
py3-mss-10.0.0-r0.apk
2024-11-14 13:09
51K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 19:10
51K
perl-net-jabber-2.0-r0.apk
2024-10-25 19:10
51K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 19:10
51K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
51K
sopwith-2.5.0-r0.apk
2024-10-25 19:10
51K
ocaml-bigstringaf-0.9.0-r2.apk
2024-10-25 19:10
51K
libunicode-dev-0.6.0-r1.apk
2024-12-14 19:38
51K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 19:10
51K
kodaskanna-0.2.0-r0.apk
2024-12-07 19:21
51K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 19:10
52K
clevis-dbg-19-r0.apk
2024-10-25 19:08
52K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:41
52K
tcc-dev-0.9.27_git20241020-r1.apk
2024-10-25 19:10
52K
py3-dnslib-0.9.25-r0.apk
2024-10-25 19:10
52K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 19:10
52K
megatools-doc-1.11.1.20241028-r0.apk
2024-10-29 19:34
52K
castero-0.9.5-r3.apk
2024-10-25 19:08
52K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 19:10
52K
granite7-lang-7.5.0-r0.apk
2024-10-25 19:09
52K
openwsman-2.7.2-r5.apk
2024-10-25 19:10
52K
py3-sphobjinv-pyc-2.3.1.1-r0.apk
2024-10-25 19:10
52K
ocaml-tsdl-image-0.6-r0.apk
2024-10-25 19:10
52K
epoch-1.3.0-r2.apk
2024-10-25 19:09
52K
libupstart-2.0.3-r5.apk
2024-10-25 19:09
52K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 19:10
52K
pimd-dense-2.1.0-r0.apk
2024-10-25 19:10
53K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-10-25 19:10
53K
libstirshaken-0_git20240208-r2.apk
2024-10-25 19:09
53K
ocaml-merlin-extend-0.6.1-r2.apk
2024-10-25 19:10
53K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 19:08
53K
py3-dogpile.cache-1.3.3-r0.apk
2024-10-25 19:10
53K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 19:09
53K
py3-pyatem-0.5.0-r4.apk
2024-10-25 19:10
53K
recoll-dev-1.37.5-r1.apk
2024-10-25 19:10
53K
libmpfi-static-1.5.4-r2.apk
2024-10-25 19:09
53K
libiio-0.25-r2.apk
2024-10-25 19:09
53K
xfce4-fsguard-plugin-1.1.3-r0.apk
2024-10-25 19:11
53K
plfit-1.0.0-r0.apk
2024-11-22 04:34
53K
py3-dep-logic-pyc-0.4.10-r0.apk
2024-12-15 22:08
53K
timew-doc-1.4.3-r1.apk
2024-10-25 19:11
53K
khronos-4.0.1-r0.apk
2024-10-25 19:09
53K
py3-wstools-0.4.10-r7.apk
2024-10-25 19:10
53K
cproc-0_git20240427-r1.apk
2024-11-03 21:51
54K
wasmtime-dev-28.0.0-r0.apk
2024-12-21 22:51
54K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 19:10
54K
fiery-lang-2.0.0-r0.apk
2024-10-25 19:09
54K
py3-pbs-installer-pyc-2024.10.16-r0.apk
2024-10-25 19:10
54K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 19:10
54K
py3-pyzor-pyc-1.0.0-r11.apk
2024-10-25 19:10
54K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 19:10
54K
varnish-modules-0.24.0-r0.apk
2024-10-25 19:11
54K
mcjoin-doc-2.11-r0.apk
2024-10-25 19:10
54K
freediameter-dev-1.5.0-r1.apk
2024-10-25 19:09
54K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 19:08
54K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 19:10
54K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 19:09
54K
Kodi
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 19:10
54K
shine-3.1.1-r0.apk
2024-10-25 19:10
54K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 19:09
54K
mat2-pyc-0.13.4-r3.apk
2024-10-25 19:10
54K
libarb-dev-2.23.0-r2.apk
2024-10-25 19:09
54K
libucl-0.9.0-r0.apk
2024-10-25 19:09
54K
waynergy-0.0.17-r0.apk
2024-10-25 19:11
54K
xfce4-places-plugin-lang-1.8.3-r0.apk
2024-10-25 19:11
54K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 19:10
54K
ocaml-mtime-1.4.0-r2.apk
2024-10-25 19:10
54K
metalang99-1.13.3-r0.apk
2024-10-25 19:10
54K
limkd-0.1.2-r0.apk
2024-10-25 19:09
54K
ocaml-hex-dev-1.5.0-r2.apk
2024-10-25 19:10
54K
pulsar-client-cpp-dev-3.1.2-r4.apk
2024-10-25 19:10
55K
fabric-3.2.2-r1.apk
2024-10-25 19:09
55K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 19:10
55K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:13
55K
asahi-fwextract-0.7.1-r0.apk
2024-10-25 19:08
55K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 19:10
55K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 19:10
55K
elfio-dev-3.12-r0.apk
2024-10-25 19:09
55K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 19:09
55K
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 19:09
55K
Kodi
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 19:09
55K
portsmf-239-r1.apk
2024-10-25 19:10
55K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 19:09
55K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 19:09
55K
belle-sip-dev-5.3.83-r0.apk
2024-10-25 19:08
55K
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 19:10
55K
lomiri-ui-extras-lang-0.6.3-r1.apk
2024-10-25 19:09
55K
backup-manager-0.7.15-r1.apk
2024-10-25 19:08
55K
git-extras-7.3.0-r0.apk
2024-11-20 00:45
55K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 19:09
55K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 19:10
55K
xfce4-mailwatch-plugin-1.3.1-r1.apk
2024-10-25 19:11
56K
bananui-2.0.0-r0.apk
2024-10-25 19:08
56K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 19:09
56K
php81-pecl-apcu-5.1.24-r0.apk
2024-10-25 19:10
56K
rkdeveloptool-1.1.0-r1.apk
2024-10-25 19:10
56K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 19:10
56K
ocaml-iso8601-0.2.6-r0.apk
2024-10-25 19:10
56K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 19:10
56K
pympress-lang-1.8.5-r1.apk
2024-10-25 19:10
56K
ocamlnet-tcl-4.1.9-r2.apk
2024-10-25 19:10
56K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 19:10
56K
py3-scour-0.38.2-r1.apk
2024-10-25 19:10
56K
ovos-dinkum-listener-pyc-0.3.4-r0.apk
2024-11-21 13:31
56K
xfce4-panel-profiles-1.0.14-r1.apk
2024-10-25 19:11
57K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 19:10
57K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:25
57K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:25
57K
py3-qbittorrent-api-2024.11.70-r0.apk
2024-11-25 14:13
57K
coxeter-dev-3.0-r1.apk
2024-10-25 19:08
57K
py3-unicorn-pyc-2.0.1-r4.apk
2024-10-25 19:10
57K
liquibase-doc-4.9.1-r0.apk
2024-10-25 19:09
57K
ocaml-uutf-dev-1.0.3-r2.apk
2024-10-25 19:10
57K
lcalc-dev-2.0.5-r2.apk
2024-10-25 19:09
57K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 19:10
57K
tinygltf-dev-2.9.3-r0.apk
2024-11-07 22:57
57K
kabmat-2.7.0-r0.apk
2024-10-25 19:09
57K
apt-dater-1.0.4-r4.apk
2024-10-25 19:08
57K
elementary-calculator-lang-8.0.0-r0.apk
2024-10-28 22:05
57K
drupal7-doc-7.103-r0.apk
2024-12-04 17:28
57K
py3-pysubs2-pyc-1.7.3-r0.apk
2024-10-25 19:10
58K
libnfc-1.8.0-r1.apk
2024-10-25 19:09
58K
perl-libapreq2-dev-2.17-r2.apk
2024-10-25 19:10
58K
serialdv-libs-1.1.4-r0.apk
2024-10-25 19:10
58K
openwsman-dev-2.7.2-r5.apk
2024-10-25 19:10
58K
perl-net-xmpp-1.05-r0.apk
2024-10-25 19:10
58K
kfc-0.1.4-r0.apk
2024-10-25 19:09
58K
hexer-1.4.0-r16.apk
2024-11-07 23:59
58K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 19:11
58K
mint-y-theme-metacity-2.1.1-r0.apk
2024-10-25 19:10
58K
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 19:10
58K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 19:09
58K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 19:09
58K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 19:08
58K
ustr-1.0.4-r1.apk
2024-10-25 19:11
58K
sbase-doc-0_git20210730-r3.apk
2024-10-25 19:10
58K
tinyscheme-1.42-r1.apk
2024-10-25 19:11
58K
py3-sphinx-autoapi-pyc-3.4.0-r0.apk
2024-12-04 21:56
58K
libantlr3c-dev-3.4-r3.apk
2024-10-25 19:09
58K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
wiringx-0_git20240317-r1.apk
2024-10-25 19:11
58K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 19:10
58K
sloccount-2.26-r3.apk
2024-10-25 19:10
59K
perl-glib-object-introspection-0.051-r1.apk
2024-10-25 19:10
59K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 19:08
59K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 19:10
59K
php81-dom-8.1.31-r0.apk
2024-11-20 02:36
59K
pipeline-lang-2.1.0-r0.apk
2024-12-07 03:49
59K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 19:11
59K
hare-cairo-0_git20240217-r1.apk
2024-11-28 16:16
59K
py3-astral-pyc-3.2-r3.apk
2024-10-25 19:10
59K
yodl-doc-4.02.00-r1.apk
2024-10-25 19:11
59K
plplot-dev-5.15.0-r2.apk
2024-10-25 19:10
59K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 19:11
59K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 19:10
59K
libiscsi-1.19.0-r2.apk
2024-10-25 19:09
59K
libxml++-5.0.3-r1.apk
2024-10-25 19:09
59K
perl-json-validator-5.14-r0.apk
2024-10-25 19:10
59K
xa-2.3.14-r0.apk
2024-10-25 19:11
59K
decoder-lang-0.6.1-r0.apk
2024-10-25 19:08
59K
sloccount-doc-2.26-r3.apk
2024-10-25 19:10
59K
nvim-lualine-0.0.0_git20241101-r0.apk
2024-11-13 23:12
60K
psftools-doc-1.1.2-r0.apk
2024-10-25 19:10
60K
fdm-materials-5.2.2-r1.apk
2024-10-25 19:09
60K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-10-25 19:10
60K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 19:10
60K
libsirocco-2.1.0-r2.apk
2024-10-25 19:09
60K
fabric-pyc-3.2.2-r1.apk
2024-10-25 19:09
60K
openscap-daemon-0.1.10-r9.apk
2024-10-25 19:10
60K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 19:10
60K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 19:08
60K
shntool-3.0.10-r4.apk
2024-10-25 19:10
60K
rtl-power-fftw-20200601-r4.apk
2024-10-25 19:10
60K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 19:10
60K
mpdcron-dev-0.3-r1.apk
2024-10-25 19:10
61K
py3-nikola-doc-8.3.1-r0.apk
2024-10-25 19:10
61K
wlroots0.12-dev-0.12.0-r1.apk
2024-10-25 19:11
61K
flightgear-doc-2020.3.19-r1.apk
2024-10-25 19:09
61K
zydis-dev-4.1.0-r0.apk
2024-10-25 19:11
61K
gf2x-dev-1.3.0-r1.apk
2024-10-25 19:09
61K
py3-unicrypto-0.0.10-r2.apk
2024-10-25 19:10
61K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 19:10
61K
libabigail-doc-2.3-r0.apk
2024-10-25 19:09
61K
perl-net-curl-0.56-r1.apk
2024-10-25 19:10
61K
php81-pecl-ds-1.5.0-r0.apk
2024-10-25 19:10
61K
nvtop-3.1.0-r0.apk
2024-10-25 19:10
61K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 19:09
61K
mimalloc1-insecure-1.8.6-r0.apk
2024-10-25 19:10
62K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 19:08
62K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 19:10
62K
guish-doc-2.6.10-r0.apk
2024-10-25 19:09
62K
font-tamzen-1.11.5-r1.apk
2024-10-25 19:09
62K
kismet-linux-wifi-0.202307.1-r4.apk
2024-11-11 18:08
62K
lomiri-terminal-app-lang-2.0.3-r0.apk
2024-11-12 17:18
62K
rapidfuzz-3.2.0-r0.apk
2024-12-18 22:46
62K
notification-daemon-3.20.0-r0.apk
2024-10-25 19:10
62K
sthttpd-2.27.1-r2.apk
2024-10-25 19:10
62K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 19:09
62K
py3-tidalapi-pyc-0.7.4-r1.apk
2024-10-25 19:10
62K
sshuttle-1.1.2-r0.apk
2024-10-25 19:10
62K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 19:10
62K
py3-colander-2.0-r2.apk
2024-10-25 19:10
62K
htmlcxx-0.87-r1.apk
2024-10-25 19:09
63K
megatools-1.11.1.20241028-r0.apk
2024-10-29 19:34
63K
libofx-0.10.9-r1.apk
2024-10-25 19:09
63K
ocaml-easy-format-1.3.4-r1.apk
2024-10-25 19:10
63K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 19:10
63K
moosefs-cgi-3.0.117-r2.apk
2024-10-25 19:10
63K
lomiri-terminal-app-2.0.3-r0.apk
2024-11-12 17:18
63K
git-extras-doc-7.3.0-r0.apk
2024-11-20 00:45
63K
cvise-pyc-2.8.0-r2.apk
2024-10-25 19:08
63K
pebble-le-0.3.0-r2.apk
2024-12-14 19:38
64K
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 19:09
64K
Kodi
moosefs-doc-3.0.117-r2.apk
2024-10-25 19:10
64K
pqiv-2.12-r1.apk
2024-10-25 19:10
64K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 19:09
64K
Kodi
xfce4-calculator-plugin-0.7.2-r0.apk
2024-10-25 19:11
64K
masky-pyc-0.2.0-r1.apk
2024-10-25 19:10
64K
ueberzug-pyc-18.2.3-r0.apk
2024-10-25 19:11
64K
materia-compact-gtk3-20210322-r1.apk
2024-10-25 19:10
65K
gufw-pyc-24.04-r3.apk
2024-11-20 00:45
65K
ueberzug-18.2.3-r0.apk
2024-10-25 19:11
65K
materia-gtk3-20210322-r1.apk
2024-10-25 19:10
65K
charls-2.4.2-r0.apk
2024-10-25 19:08
65K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 19:10
65K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 19:08
65K
py3-minidump-0.0.24-r0.apk
2024-10-25 19:10
65K
bliss-0.77-r1.apk
2024-10-25 19:08
65K
jbigkit-2.1-r2.apk
2024-10-25 19:09
66K
perl-regexp-grammars-1.058-r0.apk
2024-10-25 19:10
66K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 19:10
66K
curtail-lang-1.11.1-r0.apk
2024-10-25 19:08
66K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
glfw-wayland-3.3.8-r3.apk
2024-10-25 19:09
66K
polyglot-2.0.4-r1.apk
2024-10-25 19:10
66K
clipit-1.4.5-r3.apk
2024-10-25 19:08
66K
swaks-20240103.0-r0.apk
2024-10-25 19:10
66K
rosdep-0.19.0-r6.apk
2024-10-25 19:10
66K
gnome-user-share-lang-47.0-r0.apk
2024-10-25 19:09
66K
perl-snmp-5.0404-r13.apk
2024-10-25 19:10
66K
avarice-2.14-r4.apk
2024-10-25 19:08
67K
heisenbridge-1.14.6-r0.apk
2024-10-25 19:09
67K
libtommath-dev-1.2.1-r0.apk
2024-10-25 19:09
67K
py3-bookkeeper-pyc-4.17.1-r0.apk
2024-10-25 19:10
67K
libiml-1.0.5-r3.apk
2024-10-25 19:09
67K
mimalloc1-1.8.6-r0.apk
2024-10-25 19:10
67K
howard-bc-7.0.3-r0.apk
2024-10-25 19:09
67K
ocaml-tsdl-ttf-0.6-r0.apk
2024-10-25 19:10
67K
horizon-image-0.9.6-r9.apk
2024-10-25 19:09
67K
aravis-viewer-0.8.31-r0.apk
2024-10-25 19:08
67K
py3-diskcache-pyc-5.6.3-r2.apk
2024-10-25 19:10
67K
php81-openssl-8.1.31-r0.apk
2024-11-20 02:36
67K
policycoreutils-3.6-r1.apk
2024-10-25 19:10
67K
twemproxy-0.5.0-r0.apk
2024-10-25 19:11
67K
php81-doc-8.1.31-r0.apk
2024-11-20 02:36
68K
ocaml-sha-1.15.4-r0.apk
2024-10-25 19:10
68K
py3-caldav-1.4.0-r0.apk
2024-11-09 18:59
68K
libnbcompat-dev-1.0.2-r0.apk
2024-10-25 19:09
68K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 19:10
68K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 19:10
68K
ding-libs-dev-0.6.2-r4.apk
2024-10-25 19:08
68K
arc-cinnamon-20221218-r0.apk
2024-10-25 19:08
68K
endeavour-doc-43.0-r2.apk
2024-12-08 21:43
68K
py3-pystache-0.6.5-r1.apk
2024-10-25 19:10
68K
idesk-1-r1.apk
2024-10-25 19:09
68K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 19:10
68K
py3-ncclient-0.6.13-r5.apk
2024-10-25 19:10
68K
xsoldier-1.8-r2.apk
2024-10-25 19:11
68K
fildesh-0.2.0-r0.apk
2024-10-25 19:09
68K
subliminal-2.2.1-r0.apk
2024-10-25 19:10
68K
piper-phonemize-libs-2023.11.14.4-r5.apk
2024-10-25 19:10
68K
mpop-1.4.20-r1.apk
2024-10-25 19:10
68K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:41
68K
hping3-20051105-r4.apk
2024-10-25 19:09
68K
py3-minio-7.2.0-r1.apk
2024-10-25 19:10
68K
gupnp-dlna-0.12.0-r0.apk
2024-12-01 12:57
68K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 19:08
68K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 19:08
69K
py3-ovos-utils-0.5.4-r0.apk
2024-11-25 12:41
69K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 19:11
69K
planarity-libs-3.0.2.0-r2.apk
2024-10-25 19:10
69K
log4cpp-1.1.4-r1.apk
2024-10-25 19:09
69K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 19:10
69K
libqtdbusmock-0.9.1-r1.apk
2024-10-25 19:09
69K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 19:10
69K
debconf-1.5.82-r0.apk
2024-10-25 19:08
69K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 19:10
69K
libneo4j-client-2.2.0-r3.apk
2024-10-25 19:09
70K
ginac-dev-1.8.7-r1.apk
2024-10-25 19:09
70K
py3-hg-git-1.1.1-r1.apk
2024-10-25 19:10
70K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:56
70K
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk
2024-11-11 18:08
70K
py3-blockdiag-3.0.0-r5.apk
2024-10-25 19:10
70K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 19:11
70K
wlroots0.15-dev-0.15.1-r6.apk
2024-10-25 19:11
70K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 19:11
70K
opendht-dev-3.1.7-r5.apk
2024-12-14 19:38
71K
wlroots0.16-dev-0.16.2-r0.apk
2024-10-28 13:47
71K
elementary-calculator-8.0.0-r0.apk
2024-10-28 22:05
71K
iotas-lang-0.9.5-r0.apk
2024-12-07 20:32
71K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-10-25 19:10
71K
libiml-static-1.0.5-r3.apk
2024-10-25 19:09
71K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 19:10
71K
hfst-doc-3.16.0-r2.apk
2024-10-25 19:09
71K
getmail6-6.19.05-r0.apk
2024-10-25 19:09
71K
libnest2d-dev-0.4-r6.apk
2024-10-25 19:09
71K
perl-cairo-1.109-r4.apk
2024-10-25 19:10
71K
dnsperf-2.14.0-r0.apk
2024-10-25 19:08
72K
tmate-doc-2.4.0-r4.apk
2024-10-25 19:11
72K
xfce4-docklike-plugin-0.4.2-r0.apk
2024-10-25 19:11
72K
ocaml-mew-0.1.0-r3.apk
2024-10-25 19:10
72K
proot-5.4.0-r1.apk
2024-10-25 19:10
72K
drawpile-doc-2.2.1-r1.apk
2024-10-25 19:09
72K
fastd-22-r3.apk
2024-10-25 19:09
72K
apache2-mod-perl-dbg-2.0.13-r1.apk
2024-10-25 19:08
72K
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 19:10
72K
fuzzylite-dev-6.0-r0.apk
2024-10-25 19:09
72K
py3-limits-pyc-3.13.0-r1.apk
2024-10-25 19:10
72K
openfire-plugins-4.8.1-r1.apk
2024-12-04 11:57
72K
py3-apio-0.9.5-r0.apk
2024-10-25 19:10
72K
smassh-3.1.6-r0.apk
2024-11-21 02:56
72K
hypnotix-lang-3.5-r0.apk
2024-10-25 19:09
72K
normaliz-dev-3.10.4-r0.apk
2024-10-30 13:54
73K
php81-ffi-8.1.31-r0.apk
2024-11-20 02:36
73K
ffms2-5.0-r0.apk
2024-10-25 19:09
73K
mxclient-0_git20211002-r1.apk
2024-10-25 19:10
73K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 19:10
73K
nmon-16q-r0.apk
2024-10-25 19:10
73K
py3-hishel-pyc-0.0.33-r0.apk
2024-10-25 19:10
73K
spiped-1.6.2-r1.apk
2024-10-25 19:10
73K
yaru-theme-hdpi-23.10.0-r1.apk
2024-12-01 02:28
73K
ustr-debug-1.0.4-r1.apk
2024-10-25 19:11
73K
libeantic-2.0.2-r1.apk
2024-10-25 19:09
73K
py3-jsonschema417-4.17.3-r1.apk
2024-10-25 19:10
73K
screenkey-pyc-1.5-r6.apk
2024-10-25 19:10
73K
py3-tg-0.19.0-r5.apk
2024-10-25 19:10
73K
topgit-doc-0.19.13-r1.apk
2024-10-25 19:11
73K
muon-doc-0.3.0-r0.apk
2024-10-25 19:10
73K
libiscsi-static-1.19.0-r2.apk
2024-10-25 19:09
74K
viewnior-1.8-r1.apk
2024-10-25 19:11
74K
wlvncc-0.0.0_git20241130-r0.apk
2024-12-17 20:02
74K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 19:10
74K
libwbxml-0.11.8-r0.apk
2024-10-25 19:09
74K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 19:09
74K
Kodi
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 19:10
74K
elementary-music-8.0.0-r0.apk
2024-10-28 22:05
74K
vcdimager-doc-2.0.1-r3.apk
2024-10-25 19:11
75K
php81-mysqlnd-8.1.31-r0.apk
2024-11-20 02:36
75K
perl-net-amqp-rabbitmq-2.40012-r0.apk
2024-10-25 19:10
75K
ocaml-eqaf-0.8-r2.apk
2024-10-25 19:10
75K
soundconverter-pyc-4.0.6-r0.apk
2024-11-12 15:33
75K
ocaml-gmap-dev-0.3.0-r2.apk
2024-10-25 19:10
75K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 19:09
75K
xsecurelock-1.9.0-r1.apk
2024-10-25 19:11
75K
perl-io-lambda-1.34-r0.apk
2024-10-25 19:10
75K
purple-facebook-0.9.6-r0.apk
2024-10-25 19:10
75K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 19:10
75K
libgivaro-4.2.0-r2.apk
2024-10-25 19:09
76K
py3-aesedb-pyc-0.1.6-r2.apk
2024-10-25 19:10
76K
musikcube-plugin-httpdatastream-3.0.4-r0.apk
2024-10-25 19:10
76K
way-displays-1.8.1-r2.apk
2024-10-25 19:11
76K
ocaml-ptime-dev-1.0.0-r2.apk
2024-10-25 19:10
76K
nb-doc-7.15.0-r0.apk
2024-12-15 22:08
76K
p0f-3.09b-r3.apk
2024-10-25 19:10
76K
py3-doit-0.36.0-r5.apk
2024-10-25 19:10
77K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 19:10
77K
cpplint-2.0.0-r0.apk
2024-11-21 20:58
77K
gamemode-0_git20240327-r0.apk
2024-10-25 19:09
77K
screenkey-1.5-r6.apk
2024-10-25 19:10
77K
py3-confluent-kafka-pyc-1.8.2-r5.apk
2024-10-25 19:10
77K
aide-0.18.8-r0.apk
2024-10-25 19:08
77K
monetdb-dev-11.33.11-r4.apk
2024-10-25 19:10
77K
ocaml-domain-name-0.4.0-r2.apk
2024-10-25 19:10
77K
ckb-next-daemon-0.6.0-r1.apk
2024-10-25 19:08
77K
csfml-dev-2.5.2-r0.apk
2024-10-25 19:08
77K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 19:10
77K
razercfg-0.42-r7.apk
2024-10-25 19:10
77K
ding-libs-0.6.2-r4.apk
2024-10-25 19:08
77K
boxes-2.3.1-r0.apk
2024-10-25 19:08
77K
wlroots0.17-dev-0.17.4-r1.apk
2024-11-24 07:46
77K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 19:09
77K
gammastep-lang-2.0.9-r3.apk
2024-10-25 19:09
78K
py3-pyparted-3.13.0-r1.apk
2024-10-25 19:10
78K
btpd-0.16-r2.apk
2024-10-25 19:08
78K
cln-doc-1.3.7-r0.apk
2024-10-25 19:08
78K
py3-dt-schema-2024.11-r0.apk
2024-11-11 18:46
78K
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 19:10
78K
fplll-dev-5.5.0-r0.apk
2024-11-20 00:45
78K
ytmdl-pyc-2024.08.15.1-r0.apk
2024-10-25 19:11
78K
pypy-dev-7.3.12-r0.apk
2024-10-25 19:10
78K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 19:10
78K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-10-25 19:10
78K
ocaml-stringext-dev-1.6.0-r2.apk
2024-10-25 19:10
78K
perl-pango-1.227-r11.apk
2024-10-25 19:10
78K
linuxptp-ptp4l-4.4-r0.apk
2024-11-20 00:45
78K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 19:09
79K
ccze-0.2.1-r1.apk
2024-10-25 19:08
79K
surfraw-2.3.0-r0.apk
2024-10-25 19:10
79K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 19:10
79K
flowd-0.9.1-r10.apk
2024-10-25 19:09
79K
py3-youtube-search-1.6.6-r4.apk
2024-10-25 19:10
79K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 19:10
79K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 19:08
79K
psftools-dev-1.1.2-r0.apk
2024-10-25 19:10
79K
gupnp-av-0.14.1-r0.apk
2024-12-01 12:57
79K
opkg-libs-0.7.0-r0.apk
2024-10-25 19:10
79K
py3-asyauth-0.0.21-r0.apk
2024-10-25 19:10
79K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 19:10
80K
ocaml-lru-0.3.0-r2.apk
2024-10-25 19:10
80K
gearman-libs-1.1.21-r1.apk
2024-10-25 19:09
80K
zapret-0.0.0_git20220125-r1.apk
2024-10-25 19:11
80K
irccd-doc-4.0.3-r0.apk
2024-10-25 19:09
80K
vit-2.3.2-r1.apk
2024-10-25 19:11
80K
lxappearance-lang-0.6.3-r3.apk
2024-10-25 19:09
80K
idevicerestore-1.0.0-r4.apk
2024-10-30 22:44
81K
py3-tg-pyc-0.19.0-r5.apk
2024-10-25 19:10
81K
mimedefang-doc-3.5-r0.apk
2024-10-25 19:10
81K
perl-pango-doc-1.227-r11.apk
2024-10-25 19:10
81K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 19:09
81K
openslide-3.4.1-r3.apk
2024-10-25 19:10
81K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 19:11
81K
ledmon-1.0.0-r0.apk
2024-10-25 19:09
81K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 19:10
81K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 19:10
81K
tree-sitter-ssh-client-config-2024.12.19-r0.apk
2024-12-20 05:18
81K
lomiri-action-api-1.1.3-r1.apk
2024-10-25 19:09
81K
reprotest-0.7.28-r0.apk
2024-10-25 19:10
81K
libmustache-0.5.0-r1.apk
2024-10-25 19:09
81K
py3-ovos-bus-client-pyc-1.0.4-r0.apk
2024-11-21 13:31
81K
tk9-dev-9.0.1-r0.apk
2024-12-22 06:14
81K
horizon-tools-0.9.6-r9.apk
2024-10-25 19:09
82K
py3-fastavro-pyc-1.10.0-r0.apk
2024-12-21 10:25
82K
py3-unearth-pyc-0.17.2-r0.apk
2024-10-25 19:10
82K
getssl-2.48-r0.apk
2024-10-25 19:09
82K
mailutils-servers-3.17-r0.apk
2024-10-25 19:09
82K
py3-marshmallow-pyc-3.23.1-r0.apk
2024-11-02 01:23
82K
libstirshaken-dev-0_git20240208-r2.apk
2024-10-25 19:09
82K
libucl-dev-0.9.0-r0.apk
2024-10-25 19:09
83K
silc-client-doc-1.1.11-r17.apk
2024-10-25 19:10
83K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 19:10
83K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:07
83K
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-10-25 19:10
83K
thefuck-3.32-r5.apk
2024-10-25 19:11
83K
dbus-broker-36-r0.apk
2024-10-25 19:08
83K
youtube-viewer-3.11.1-r0.apk
2024-10-25 19:11
83K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 19:09
84K
py3-winacl-0.1.9-r0.apk
2024-10-25 19:10
84K
soqt-dev-1.6.3-r0.apk
2024-12-13 20:44
84K
sydbox-doc-3.21.3-r0.apk
2024-10-25 19:10
84K
perl-net-idn-encode-2.500-r1.apk
2024-10-25 19:10
84K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-10-25 19:10
84K
py3-plexapi-doc-4.16.0-r0.apk
2024-11-25 14:13
84K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 19:10
84K
wiringx-dev-0_git20240317-r1.apk
2024-10-25 19:11
84K
pimd-3.0_git20220201-r0.apk
2024-10-25 19:10
84K
ccrtp-2.1.2-r0.apk
2024-10-25 19:08
85K
firehol-3.1.7-r2.apk
2024-10-25 19:09
85K
viewnior-lang-1.8-r1.apk
2024-10-25 19:11
85K
hy-1.0.0-r0.apk
2024-10-25 19:09
85K
elementary-camera-8.0.0-r0.apk
2024-10-27 14:20
85K
elementary-videos-lang-8.0.1-r0.apk
2024-11-10 22:06
85K
dodo-pyc-0_git20241007-r0.apk
2024-10-25 19:08
86K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 19:08
86K
neofetch-7.1.0-r2.apk
2024-11-07 05:26
86K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 19:10
86K
py3-arcus-5.3.0-r1.apk
2024-10-25 19:10
86K
aptdec-1.8.0-r0.apk
2024-10-25 19:08
86K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 19:10
86K
katarakt-0.2-r0.apk
2024-10-25 19:09
86K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 19:08
86K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 19:09
86K
vim-airline-0.11-r0.apk
2024-10-25 19:11
86K
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 19:08
87K
gtkhash-1.5-r0.apk
2024-10-25 19:09
87K
py3-asysocks-0.2.13-r0.apk
2024-10-25 19:10
87K
py3-ovos-workshop-3.1.1-r0.apk
2024-11-25 12:41
87K
lua-lut-1.2.1-r0.apk
2024-10-25 19:09
87K
znc-push-0_git20220823-r8.apk
2024-10-25 19:11
87K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 19:11
88K
duc-1.4.5-r0.apk
2024-10-25 19:09
88K
lfm-3.1-r4.apk
2024-10-25 19:09
88K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-10-25 19:10
88K
gpsbabel-lang-1.9.0-r0.apk
2024-10-25 19:09
88K
ruby-build-20241213-r0.apk
2024-12-17 20:28
88K
bananui-dev-2.0.0-r0.apk
2024-10-25 19:08
88K
lua5.1-libguestfs-1.52.0-r1.apk
2024-10-25 19:09
89K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 19:10
89K
ocaml-logs-dev-0.7.0-r3.apk
2024-10-25 19:10
89K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 19:10
89K
kodi-inputstream-rtmp-20.3.0-r1.apk
2024-10-25 19:09
89K
Kodi
fusesoc-pyc-2.3-r0.apk
2024-10-25 19:09
89K
tcmu-runner-1.6.0-r6.apk
2024-10-25 19:10
89K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-10-25 19:10
89K
gammastep-2.0.9-r3.apk
2024-10-25 19:09
90K
gupnp-1.6.7-r0.apk
2024-12-01 12:57
90K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 19:10
90K
libbraiding-1.3.1-r0.apk
2024-11-20 00:45
90K
py3-caldav-pyc-1.4.0-r0.apk
2024-11-09 18:59
90K
py3-dogpile.cache-pyc-1.3.3-r0.apk
2024-10-25 19:10
90K
libigraph-dev-0.10.15-r0.apk
2024-11-20 00:45
90K
py3-qdldl-0.1.5-r4.apk
2024-10-25 19:10
90K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 19:10
90K
pigpio-dev-79-r4.apk
2024-10-25 19:10
91K
soundfont-vintage-dreams-waves-2.1-r2.apk
2024-10-25 19:10
91K
video-trimmer-lang-0.9.0-r0.apk
2024-10-25 19:11
91K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 19:10
91K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 19:09
91K
libnfc-tools-1.8.0-r1.apk
2024-10-25 19:09
91K
predict-2.3.1-r0.apk
2024-11-24 07:46
91K
xandikos-0.2.12-r1.apk
2024-11-25 05:06
91K
anarch-1.0-r1.apk
2024-10-25 19:08
91K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 19:10
91K
emacs-elfeed-3.4.1_git20240326-r0.apk
2024-10-25 19:09
91K
simavr-1.7-r1.apk
2024-10-25 19:10
91K
libctl-4.5.1-r1.apk
2024-10-25 19:09
91K
ustr-dev-1.0.4-r1.apk
2024-10-25 19:11
91K
py3-mistletoe-pyc-1.2.1-r2.apk
2024-10-25 19:10
92K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 19:10
92K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-10-25 19:10
92K
resources-lang-1.6.0-r1.apk
2024-10-25 19:10
92K
py3-ovos-plugin-manager-0.6.0-r0.apk
2024-11-21 13:31
92K
jdebp-redo-1.4-r1.apk
2024-10-25 19:09
92K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 19:09
92K
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 19:08
92K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-10-25 19:10
92K
linuxwave-0.1.5-r0.apk
2024-10-25 19:09
92K
tree-sitter-dart-0_git20230123-r1.apk
2024-10-25 19:11
92K
libsemanage-3.6-r1.apk
2024-10-25 19:09
93K
sqliteodbc-0.99991-r0.apk
2024-10-25 19:10
93K
ocaml-arp-3.0.0-r3.apk
2024-10-25 19:10
93K
py3-pigpio-79-r4.apk
2024-10-25 19:10
93K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 19:09
93K
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 19:09
93K
Kodi
arc-dark-gtk3-20221218-r0.apk
2024-10-25 19:08
93K
care-2.3.0-r1.apk
2024-10-25 19:08
93K
efibootguard-0.16-r0.apk
2024-10-25 19:09
93K
gst-rtsp-server-dev-1.24.10-r0.apk
2024-12-05 12:44
93K
mpdcron-0.3-r1.apk
2024-10-25 19:10
94K
cddlib-tools-0.94m-r2.apk
2024-10-25 19:08
94K
py3-unicrypto-pyc-0.0.10-r2.apk
2024-10-25 19:10
94K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-20 00:45
94K
py3-qbittorrent-api-pyc-2024.11.70-r0.apk
2024-11-25 14:13
94K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 19:09
94K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 19:10
94K
py3-moviepy-1.0.3-r6.apk
2024-10-25 19:10
94K
py3-xlwt-1.3.0-r9.apk
2024-10-25 19:10
95K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 19:10
95K
ocaml-num-dev-1.4-r3.apk
2024-10-25 19:10
95K
castero-pyc-0.9.5-r3.apk
2024-10-25 19:08
95K
py3-mnemonic-0.21-r0.apk
2024-10-25 19:10
95K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:31
95K
onnxruntime-dev-1.19.2-r2.apk
2024-11-11 18:08
95K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-04 11:57
95K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:10
95K
csfml-2.5.2-r0.apk
2024-10-25 19:08
96K
ocaml-base64-3.5.0-r2.apk
2024-10-25 19:10
96K
mkrundir-0.4.0-r1.apk
2024-11-28 16:16
96K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 19:10
96K
libgivaro-static-4.2.0-r2.apk
2024-10-25 19:09
96K
ssdfs-tools-4.09-r0.apk
2024-10-25 19:10
96K
lomiri-telephony-service-lang-0.5.3-r5.apk
2024-12-07 19:21
96K
cpplint-pyc-2.0.0-r0.apk
2024-11-21 20:58
96K
py3-youtube-search-pyc-1.6.6-r4.apk
2024-10-25 19:10
96K
guish-2.6.10-r0.apk
2024-10-25 19:09
96K
libmhash-0.9.9.9-r3.apk
2024-10-25 19:09
96K
ustr-doc-1.0.4-r1.apk
2024-10-25 19:11
97K
k2-0_git20220807-r1.apk
2024-10-25 19:09
97K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 19:10
97K
lomiri-notifications-1.3.0-r1.apk
2024-10-25 19:09
97K
libiio-tools-0.25-r2.apk
2024-10-25 19:09
97K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 19:10
97K
py3-drf-yasg-pyc-1.21.7-r2.apk
2024-10-25 19:10
97K
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 19:09
97K
Kodi
u1db-qt-0.1.8-r0.apk
2024-10-25 19:11
97K
py3-chameleon-4.5.4-r0.apk
2024-10-25 19:10
97K
py3-scs-3.2.3-r4.apk
2024-10-25 19:10
98K
py3-avro-1.11.3-r1.apk
2024-10-25 19:10
98K
py3-transitions-0.9.2-r0.apk
2024-10-25 19:10
98K
wf-config-0.8.0-r1.apk
2024-10-25 19:11
98K
ginac-doc-1.8.7-r1.apk
2024-10-25 19:09
98K
azote-pyc-1.14.0-r0.apk
2024-12-14 20:38
98K
htmldoc-doc-1.9.20-r0.apk
2024-12-10 15:42
98K
dsp-1.9-r2.apk
2024-10-25 19:09
98K
py3-qpageview-0.6.2-r1.apk
2024-10-25 19:10
98K
repology-cli-1.4.0-r0.apk
2024-12-22 07:00
98K
hpnssh-doc-18.4.1-r0.apk
2024-10-25 19:09
98K
py3-pyinstrument-pyc-5.0.0-r0.apk
2024-12-21 05:53
98K
eclib-dev-20240408-r1.apk
2024-10-25 19:09
98K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 19:11
98K
ocaml-uuseg-14.0.0-r2.apk
2024-10-25 19:10
99K
tachyon-0.99_beta6-r1.apk
2024-10-25 19:10
99K
py3-confluent-kafka-1.8.2-r5.apk
2024-10-25 19:10
99K
perl-sys-virt-doc-10.6.0-r0.apk
2024-10-25 19:10
99K
burp-doc-3.1.4-r0.apk
2024-10-25 19:08
99K
lomiri-ui-toolkit-lang-1.3.5100-r1.apk
2024-10-25 19:09
99K
dooit-pyc-3.1.0-r0.apk
2024-12-07 20:23
100K
lomiri-settings-components-lang-1.1.1-r1.apk
2024-10-25 19:09
100K
py3-cdio-2.1.1-r5.apk
2024-10-25 19:10
100K
qdjango-0.6.2-r1.apk
2024-10-25 19:10
100K
caffeine-ng-4.2.0-r1.apk
2024-10-25 19:08
100K
ruby-libguestfs-1.52.0-r1.apk
2024-10-25 19:10
100K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 19:10
101K
catfish-pyc-4.18.0-r2.apk
2024-10-25 19:08
101K
nbsdgames-5-r0.apk
2024-10-25 19:10
101K
hdf4-dev-4.2.15-r2.apk
2024-10-25 19:09
101K
singular-emacs-4.4.0-r0.apk
2024-10-25 19:10
101K
py3-osqp-0.6.2-r6.apk
2024-10-25 19:10
101K
ocaml-ssl-0.7.0-r0.apk
2024-10-25 19:10
101K
nm-tray-0.5.0-r0.apk
2024-10-25 19:10
101K
urlwatch-pyc-2.28-r2.apk
2024-10-25 19:11
101K
h4h5tools-2.2.5-r4.apk
2024-10-25 19:09
102K
ocaml-xmlm-dev-1.4.0-r2.apk
2024-10-25 19:10
102K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 19:10
102K
ocaml-psq-0.2.0-r2.apk
2024-10-25 19:10
102K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 19:10
102K
nvim-plenary-0.1.4_git20240917-r0.apk
2024-11-11 04:07
102K
ab-tidy-0.1.0-r1.apk
2024-11-28 16:16
102K
getmail6-pyc-6.19.05-r0.apk
2024-10-25 19:09
102K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 19:09
103K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 19:10
103K
libsbsms-2.3.0-r0.apk
2024-10-25 19:09
103K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 19:10
103K
reprotest-pyc-0.7.28-r0.apk
2024-10-25 19:10
103K
ocaml-fpath-dev-0.7.3-r2.apk
2024-10-25 19:10
103K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 19:10
104K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-10-25 19:10
104K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 19:10
104K
openmg-0.0.9-r0.apk
2024-10-25 19:10
104K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 19:10
104K
pithos-1.6.1-r0.apk
2024-10-25 19:10
104K
libofx-tools-0.10.9-r1.apk
2024-10-25 19:09
105K
handlebars-1.0.0-r1.apk
2024-10-25 19:09
105K
gnome-latex-doc-3.47.0-r2.apk
2024-12-12 19:33
105K
libabigail-tools-2.3-r0.apk
2024-10-25 19:09
105K
policycoreutils-lang-3.6-r1.apk
2024-10-25 19:10
105K
waylock-1.3.0-r0.apk
2024-11-11 00:03
105K
wayqt-0.2.0-r0.apk
2024-10-25 19:11
105K
fceux-doc-2.6.6-r2.apk
2024-10-25 19:09
106K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 19:11
106K
daemontools-0.76-r3.apk
2024-10-25 19:08
106K
py3-libcec-rpi-6.0.2-r4.apk
2024-10-25 19:10
106K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 19:10
107K
pari-dev-2.17.0-r0.apk
2024-10-25 19:10
107K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 19:10
107K
crispy-doom-doc-7.0-r0.apk
2024-10-25 19:08
107K
soundconverter-lang-4.0.6-r0.apk
2024-11-12 15:33
107K
php81-spx-0.4.17-r0.apk
2024-10-25 19:10
107K
bliss-dev-0.77-r1.apk
2024-10-25 19:08
107K
moe-1.14-r0.apk
2024-10-25 19:10
107K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 19:10
107K
py3-pyinstrument-5.0.0-r0.apk
2024-12-21 05:53
107K
otrs-setup-6.0.48-r2.apk
2024-10-25 19:10
107K
bananui-shell-0.2.0-r0.apk
2024-10-25 19:08
107K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 19:11
108K
rizin-cutter-dev-2.3.2-r2.apk
2024-10-25 19:10
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 19:09
108K
elf_diff-0.7.1-r3.apk
2024-10-25 19:09
108K
ovos-dinkum-listener-0.3.4-r0.apk
2024-11-21 13:31
108K
lomiri-gallery-app-lang-3.0.2-r0.apk
2024-10-25 19:09
109K
river-bedload-0.1.1-r0.apk
2024-10-27 18:25
109K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 19:09
109K
py3-dnslib-pyc-0.9.25-r0.apk
2024-10-25 19:10
109K
mediastreamer2-doc-5.3.41-r0.apk
2024-10-25 19:10
109K
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-10-25 19:10
110K
bake-2.5.1-r0.apk
2024-10-25 19:08
110K
lkrg-0.9.6-r0.apk
2024-10-25 19:09
110K
emacs-embark-1.0_git20240327-r0.apk
2024-10-25 19:09
110K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 19:10
110K
belr-5.3.83-r0.apk
2024-10-25 19:08
110K
font-cousine-0_git20210228-r0.apk
2024-10-25 19:09
110K
hypnotix-3.5-r0.apk
2024-10-25 19:09
110K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 19:08
110K
perl-soap-lite-1.27-r5.apk
2024-10-25 19:10
110K
catdoc-0.95-r1.apk
2024-10-25 19:08
110K
libnih-1.0.3-r7.apk
2024-10-25 19:09
110K
primesieve-libs-12.6-r0.apk
2024-12-14 18:12
110K
dotnet-doc-6.0.136-r1.apk
2024-11-25 04:51
111K
elementary-videos-8.0.1-r0.apk
2024-11-10 22:06
111K
xtl-0.7.7-r0.apk
2024-10-25 19:11
111K
berry-lang-1.1.0-r0.apk
2024-10-25 19:08
111K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 19:10
111K
menumaker-0.99.14-r1.apk
2024-10-25 19:10
111K
android-file-transfer-cli-4.3-r0.apk
2024-10-25 19:08
111K
roswell-24.10.115-r0.apk
2024-10-25 19:10
111K
maxima-emacs-5.47.0-r8.apk
2024-10-25 19:10
111K
compiz-pyc-0.9.14.2-r7.apk
2024-11-22 15:30
111K
interception-tools-0.6.8-r2.apk
2024-10-25 19:09
111K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 19:10
112K
perl-libapreq2-2.17-r2.apk
2024-10-25 19:10
112K
kodi-vfs-libarchive-20.3.0-r1.apk
2024-10-25 19:09
112K
Kodi
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 19:10
112K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-10-25 19:10
112K
mediastreamer2-dev-5.3.41-r0.apk
2024-10-25 19:10
112K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 19:09
113K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 19:10
113K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 19:08
113K
sqawk-doc-0.24.0-r0.apk
2024-10-25 19:10
113K
php81-pecl-imagick-3.7.0-r5.apk
2024-10-25 19:10
113K
x11docker-7.6.0-r1.apk
2024-10-25 19:11
113K
whipper-0.10.0-r5.apk
2024-10-25 19:11
113K
arc-gtk4-20221218-r0.apk
2024-10-25 19:08
114K
xosview-1.24-r0.apk
2024-10-25 19:11
114K
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 19:10
114K
powerctl-1.1-r5.apk
2024-10-25 19:10
114K
fluent-bit-dev-3.1.10-r0.apk
2024-11-10 12:15
115K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 19:10
115K
detox-2.0.0-r0.apk
2024-10-25 19:08
115K
htslib-dev-1.19-r0.apk
2024-10-25 19:09
115K
pigpio-doc-79-r4.apk
2024-10-25 19:10
115K
opkg-dev-0.7.0-r0.apk
2024-10-25 19:10
116K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 19:09
116K
php81-phar-8.1.31-r0.apk
2024-11-20 02:36
116K
icingaweb2-module-businessprocess-2.4.0-r0.apk
2024-10-25 19:09
116K
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
117K
compiz-dev-0.9.14.2-r7.apk
2024-11-22 15:30
117K
perl-git-raw-doc-0.90-r2.apk
2024-11-22 18:28
117K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 19:09
117K
hw-probe-1.6.5-r2.apk
2024-10-25 19:09
117K
mir-demos-2.15.0-r4.apk
2024-10-25 19:10
117K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 19:09
117K
gcli-2.5.0-r0.apk
2024-10-25 19:09
118K
uucp-doc-1.07-r6.apk
2024-10-25 19:11
118K
bionic_translation-dbg-0_git20240525-r0.apk
2024-10-25 19:08
118K
zile-2.6.2-r1.apk
2024-10-25 19:11
118K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 19:08
118K
font-firamath-0.3.4-r0.apk
2024-10-25 19:09
118K
shellinabox-2.21-r3.apk
2024-10-25 19:10
119K
tomcat9-admin-9.0.97-r0.apk
2024-11-20 00:46
119K
lomiri-docviewer-app-lang-3.0.4-r0.apk
2024-10-25 19:09
119K
wok-pyc-3.0.0-r6.apk
2024-10-25 19:11
119K
yosys-dev-0.42-r0.apk
2024-10-25 19:11
119K
liboggz-1.1.1-r2.apk
2024-10-25 19:09
119K
proot-static-5.4.0-r1.apk
2024-10-25 19:10
119K
py3-spnego-0.10.2-r1.apk
2024-10-25 19:10
119K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 19:10
119K
ocaml-mirage-flow-3.0.0-r3.apk
2024-10-25 19:10
119K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 19:09
119K
atomicparsley-20240608-r0.apk
2024-10-25 19:08
119K
otpclient-4.0.2-r0.apk
2024-10-25 19:10
119K
granite7-7.5.0-r0.apk
2024-10-25 19:09
119K
ocaml-camlzip-1.11-r2.apk
2024-10-25 19:10
120K
osmctools-0.9-r0.apk
2024-10-25 19:10
120K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 19:09
120K
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 19:09
120K
Kodi
faust-tools-2.60.3-r2.apk
2024-10-25 19:09
120K
sbase-0_git20210730-r3.apk
2024-10-25 19:10
121K
rivercarro-0.5.0-r0.apk
2024-10-27 13:20
121K
nullmailer-2.2-r4.apk
2024-10-25 19:10
121K
nymphcast-mediaserver-0.1-r3.apk
2024-10-25 19:10
121K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 21:51
121K
libnih-dev-1.0.3-r7.apk
2024-10-25 19:09
121K
fox-adie-1.6.57-r0.apk
2024-10-25 19:09
121K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-20 00:45
121K
tree-sitter-markdown-0.3.2-r0.apk
2024-10-25 19:11
121K
aws-lc-tools-1.41.1-r0.apk
2024-12-22 06:14
122K
ocaml-ptime-1.0.0-r2.apk
2024-10-25 19:10
122K
icmake-9.03.01-r0.apk
2024-10-25 19:09
122K
ocaml-eqaf-dev-0.8-r2.apk
2024-10-25 19:10
122K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 19:08
123K
py3-edalize-0.5.4-r0.apk
2024-10-25 19:10
123K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 19:10
123K
libm4ri-20240729-r1.apk
2024-10-25 19:09
123K
mesa-asahi-egl-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
123K
py3-cchardet-2.1.7-r5.apk
2024-10-25 19:10
123K
php81-gd-8.1.31-r0.apk
2024-11-20 02:36
123K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 19:08
124K
py3-ovos-utils-pyc-0.5.4-r0.apk
2024-11-25 12:41
124K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 19:09
124K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 19:10
124K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 19:08
125K
drogon-dev-1.9.4-r1.apk
2024-10-25 19:09
125K
ocaml-lwt_react-5.7.0-r0.apk
2024-10-25 19:10
125K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 19:08
125K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 19:10
125K
py3-jsonschema417-pyc-4.17.3-r1.apk
2024-10-25 19:10
125K
vcdimager-dev-2.0.1-r3.apk
2024-10-25 19:11
125K
ginger-lang-2.4.0-r7.apk
2024-10-25 19:09
125K
libsimplebluez-0.6.1-r2.apk
2024-12-14 19:38
125K
py3-dbus-fast-pyc-2.24.4-r0.apk
2024-11-20 00:45
126K
android-file-transfer-libs-4.3-r0.apk
2024-10-25 19:08
126K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 19:09
126K
php81-soap-8.1.31-r0.apk
2024-11-20 02:36
126K
arc-gtk3-20221218-r0.apk
2024-10-25 19:08
126K
stgit-doc-2.4.7-r1.apk
2024-10-25 19:10
126K
topgit-0.19.13-r1.apk
2024-10-25 19:11
127K
ecm-7.0.5-r1.apk
2024-10-25 19:09
127K
icmake-doc-9.03.01-r0.apk
2024-10-25 19:09
127K
cdist-pyc-7.0.0-r6.apk
2024-10-25 19:08
128K
ocaml-fmt-dev-0.9.0-r2.apk
2024-10-25 19:10
128K
piper-tts-2023.11.14.2-r9.apk
2024-12-18 12:16
128K
venc-pyc-3.2.4-r0.apk
2024-10-25 19:11
128K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 19:10
128K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 19:11
128K
py3-github3-4.0.1-r1.apk
2024-10-25 19:10
128K
fbp-0.5-r1.apk
2024-10-25 19:09
128K
py3-minikerberos-0.4.4-r1.apk
2024-10-25 19:10
129K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 19:10
129K
py3-piper-phonemize-2023.11.14.4-r5.apk
2024-10-25 19:10
129K
openttd-openmsx-0.4.2-r0.apk
2024-10-25 19:10
129K
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 19:10
129K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 19:11
129K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 19:09
130K
wayfire-dev-0.8.1-r1.apk
2024-10-25 19:11
130K
libvoikko-4.3.2-r1.apk
2024-10-25 19:09
130K
py3-minidump-pyc-0.0.24-r0.apk
2024-10-25 19:10
130K
mpop-lang-1.4.20-r1.apk
2024-10-25 19:10
130K
ocaml-jsonm-1.0.2-r0.apk
2024-10-25 19:10
130K
bdfr-2.6.2-r1.apk
2024-10-25 19:08
131K
ocaml-logs-0.7.0-r3.apk
2024-10-25 19:10
131K
py3-chameleon-pyc-4.5.4-r0.apk
2024-10-25 19:10
131K
rmlint-2.10.2-r2.apk
2024-10-25 19:10
131K
py3-marisa-trie-1.2.1-r0.apk
2024-11-11 13:12
132K
py3-winacl-pyc-0.1.9-r0.apk
2024-10-25 19:10
132K
py3-poppler-qt5-21.3.0-r1.apk
2024-10-25 19:10
132K
debconf-lang-1.5.82-r0.apk
2024-10-25 19:08
132K
primecount-libs-7.14-r0.apk
2024-10-25 19:10
132K
cgiirc-0.5.12-r1.apk
2024-10-25 19:08
133K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 19:10
133K
lfm-pyc-3.1-r4.apk
2024-10-25 19:09
134K
php81-intl-8.1.31-r0.apk
2024-11-20 02:36
134K
py3-levenshtein-0.26.1-r0.apk
2024-12-19 06:17
134K
liboggz-doc-1.1.1-r2.apk
2024-10-25 19:09
134K
apostrophe-pyc-3.2-r0.apk
2024-10-25 19:08
134K
subliminal-pyc-2.2.1-r0.apk
2024-10-25 19:10
135K
wolfssh-1.4.17-r0.apk
2024-10-25 19:11
135K
mapnik-doc-3.1.0-r29.apk
2024-11-07 23:59
135K
ocaml-integers-0.7.0-r2.apk
2024-10-25 19:10
135K
log4cxx-dev-1.1.0-r2.apk
2024-12-14 19:38
135K
libm4ri-static-20240729-r1.apk
2024-10-25 19:09
135K
ovos-audio-0.3.1-r0.apk
2024-11-21 13:31
136K
perl-mce-1.900-r0.apk
2024-10-25 19:10
136K
guetzli-0_git20191025-r1.apk
2024-10-25 19:09
136K
deblob-0.9-r0.apk
2024-12-01 17:03
137K
himitsu-git-0.3.0-r0.apk
2024-10-25 19:09
137K
arj-0_git20220125-r1.apk
2024-10-25 19:08
137K
motion-4.7.0-r0.apk
2024-10-25 19:10
137K
py3-minio-pyc-7.2.0-r1.apk
2024-10-25 19:10
137K
remake-1.5-r1.apk
2024-10-25 19:10
138K
qml-box2d-0_git20180406-r0.apk
2024-10-25 19:10
138K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 19:09
138K
steghide-0.5.1.1-r0.apk
2024-10-25 19:10
138K
getmail6-doc-6.19.05-r0.apk
2024-10-25 19:09
138K
tinygltf-2.9.3-r0.apk
2024-11-07 22:57
138K
py3-msldap-0.5.12-r0.apk
2024-10-25 19:10
139K
neard-0.19-r0.apk
2024-10-25 19:10
139K
py3-cmd2-2.4.3-r2.apk
2024-10-25 19:10
139K
bochs-doc-2.8-r0.apk
2024-10-25 19:08
139K
php81-pecl-protobuf-4.29.0-r0.apk
2024-11-28 11:06
140K
motion-doc-4.7.0-r0.apk
2024-10-25 19:10
140K
brltty-dev-6.7-r0.apk
2024-10-25 19:08
140K
ocaml-lwt_log-1.1.1-r5.apk
2024-10-25 19:10
140K
yodl-4.02.00-r1.apk
2024-10-25 19:11
141K
piper-tts-dev-2023.11.14.2-r9.apk
2024-12-18 12:16
141K
w_scan2-1.0.15-r0.apk
2024-10-25 19:11
141K
libtins-dev-4.5-r1.apk
2024-10-25 19:09
141K
lizardfs-3.13.0-r14.apk
2024-12-04 11:57
141K
pnmixer-0.7.2-r3.apk
2024-10-25 19:10
142K
zutty-0.14-r0.apk
2024-10-25 19:11
142K
php81-pecl-xdebug-3.4.0-r0.apk
2024-11-28 14:59
142K
klevernotes-lang-1.1.0-r0.apk
2024-10-25 19:09
142K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-10-25 19:10
142K
rauc-1.10.1-r0.apk
2024-10-25 19:10
142K
py3-migen-0.9.2-r2.apk
2024-10-25 19:10
143K
py3-pika-1.3.2-r1.apk
2024-10-25 19:10
143K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 12:12
143K
grip-lang-4.2.4-r0.apk
2024-10-25 19:09
144K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 19:11
144K
slidge-0.1.3-r0.apk
2024-10-25 19:10
145K
font-fira-code-vf-6.2-r0.apk
2024-10-25 19:09
145K
binwalk-2.4.3-r0.apk
2024-12-01 17:23
145K
gatling-0.16-r6.apk
2024-10-25 19:09
146K
perl-ffi-platypus-doc-2.10-r0.apk
2024-12-19 05:41
146K
tcc-libs-0.9.27_git20241020-r1.apk
2024-10-25 19:10
146K
py3-opendht-3.1.7-r5.apk
2024-12-14 19:38
146K
mmix-0_git20221025-r0.apk
2024-10-25 19:10
147K
smplxmpp-0.9.3-r4.apk
2024-12-18 12:16
147K
ocaml-curses-1.0.10-r2.apk
2024-10-25 19:10
147K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 19:10
147K
ocaml-fpath-0.7.3-r2.apk
2024-10-25 19:10
147K
flashprog-libs-1.3-r0.apk
2024-11-12 16:00
147K
ocaml-mikmatch-1.0.9-r2.apk
2024-10-25 19:10
148K
sdparm-1.12-r1.apk
2024-10-25 19:10
148K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 19:10
148K
openswitcher-0.5.0-r4.apk
2024-10-25 19:10
148K
brltty-lang-6.7-r0.apk
2024-10-25 19:08
149K
moosefs-chunkserver-3.0.117-r2.apk
2024-10-25 19:10
149K
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk
2024-10-25 19:11
149K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 19:09
149K
dotnet6-hostfxr-6.0.36-r1.apk
2024-11-25 04:52
149K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-10-25 19:10
149K
libsemanage-dev-3.6-r1.apk
2024-10-25 19:09
150K
py3-blockdiag-pyc-3.0.0-r5.apk
2024-10-25 19:10
151K
nb-7.15.0-r0.apk
2024-12-15 22:08
151K
materia-gtk-theme-20210322-r1.apk
2024-10-25 19:10
151K
vit-pyc-2.3.2-r1.apk
2024-10-25 19:11
151K
mono-doc-6.12.0.205-r1.apk
2024-10-25 19:10
152K
heisenbridge-pyc-1.14.6-r0.apk
2024-10-25 19:09
152K
makedumpfile-1.7.6-r0.apk
2024-10-28 04:12
152K
libbamf-0.5.6-r1.apk
2024-10-25 19:09
153K
cscope-15.9-r1.apk
2024-10-25 19:08
153K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 19:09
153K
py3-plexapi-4.16.0-r0.apk
2024-11-25 14:13
153K
pidif-0.1-r1.apk
2024-10-25 19:10
154K
libemf2svg-1.1.0-r2.apk
2024-10-25 19:09
154K
pithos-pyc-1.6.1-r0.apk
2024-10-25 19:10
154K
py3-cssutils-2.11.1-r1.apk
2024-10-25 19:10
155K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 19:10
156K
ocaml-pcre-7.5.0-r4.apk
2024-10-25 19:10
156K
thefuck-pyc-3.32-r5.apk
2024-10-25 19:11
156K
py3-ovos-workshop-pyc-3.1.1-r0.apk
2024-11-25 12:41
156K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 19:09
156K
bankstown-lv2-1.1.0-r0.apk
2024-10-25 19:08
156K
flashprog-1.3-r0.apk
2024-11-12 16:00
157K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 19:10
157K
wok-3.0.0-r6.apk
2024-10-25 19:11
157K
firecracker-rebase-snap-1.9.0-r0.apk
2024-10-25 19:09
157K
mimedefang-3.5-r0.apk
2024-10-25 19:10
157K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 19:11
159K
libntl-dev-11.5.1-r4.apk
2024-10-25 19:09
159K
mailutils-doc-3.17-r0.apk
2024-10-25 19:09
159K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 19:09
159K
kdiskmark-3.1.4-r1.apk
2024-10-25 19:09
159K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 19:09
160K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 19:09
160K
haredo-1.0.5-r1.apk
2024-11-28 16:16
160K
wk-adblock-0.0.4-r5.apk
2024-10-25 19:11
160K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 19:09
160K
Kodi
click-0.5.2-r3.apk
2024-10-25 19:08
161K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 19:10
161K
perl-git-raw-0.90-r2.apk
2024-11-22 18:28
161K
apostrophe-3.2-r0.apk
2024-10-25 19:08
162K
ocaml-arp-dev-3.0.0-r3.apk
2024-10-25 19:10
162K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 19:09
162K
rlottie-0.2_git20230831-r0.apk
2024-10-25 19:10
162K
py3-pdal-3.4.5-r0.apk
2024-11-05 21:53
163K
seastar-testing-22.11.0_git20240815-r4.apk
2024-12-18 09:36
163K
olsrd-0.9.8-r3.apk
2024-10-25 19:10
163K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 19:10
164K
sc-im-0.8.4-r0.apk
2024-10-25 19:10
164K
ocaml-lru-dev-0.3.0-r2.apk
2024-10-25 19:10
164K
fava-pyc-1.28-r0.apk
2024-10-25 19:09
164K
trace-cmd-3.3.1-r0.apk
2024-11-01 17:22
164K
soundconverter-4.0.6-r0.apk
2024-11-12 15:33
165K
srain-1.8.0-r0.apk
2024-11-20 00:45
165K
ocaml-ocplib-endian-1.2-r3.apk
2024-10-25 19:10
165K
py3-xlwt-pyc-1.3.0-r9.apk
2024-10-25 19:10
166K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 19:10
166K
mame-plugins-0.251-r0.apk
2024-10-25 19:10
166K
ustr-static-1.0.4-r1.apk
2024-10-25 19:11
166K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 19:10
167K
binwalk-pyc-2.4.3-r0.apk
2024-12-01 17:23
167K
burp-3.1.4-r0.apk
2024-10-25 19:08
168K
ocaml-calendar-dev-2.04-r4.apk
2024-10-25 19:10
168K
lomiri-indicator-network-lang-1.0.2-r2.apk
2024-10-25 19:09
168K
libretro-mu-0_git20220317-r0.apk
2024-10-25 19:09
168K
libstirshaken-tools-0_git20240208-r2.apk
2024-10-25 19:09
169K
hy-pyc-1.0.0-r0.apk
2024-10-25 19:09
169K
lizardfs-metalogger-3.13.0-r14.apk
2024-12-04 11:57
169K
ptpd-2.3.1-r1.apk
2024-10-25 19:10
170K
perl-mce-doc-1.900-r0.apk
2024-10-25 19:10
171K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 19:11
171K
youtube-viewer-gtk-3.11.1-r0.apk
2024-10-25 19:11
171K
lomiri-libusermetrics-1.3.3-r0.apk
2024-10-25 19:09
171K
trace-cmd-doc-3.3.1-r0.apk
2024-11-01 17:22
171K
py3-asyauth-pyc-0.0.21-r0.apk
2024-10-25 19:10
171K
libm4rie-20200125-r4.apk
2024-10-25 19:09
172K
libretro-opera-0_git20211214-r0.apk
2024-10-25 19:09
172K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 19:10
172K
bananui-dbg-2.0.0-r0.apk
2024-10-25 19:08
172K
kimchi-lang-3.0.0-r7.apk
2024-10-25 19:09
172K
ocaml-uucd-dev-14.0.0-r2.apk
2024-10-25 19:10
173K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 19:08
173K
liboggz-dev-1.1.1-r2.apk
2024-10-25 19:09
173K
zvbi-0.2.43-r0.apk
2024-12-07 20:32
173K
lomiri-ui-toolkit-dev-1.3.5100-r1.apk
2024-10-25 19:09
173K
py3-langcodes-3.3.0-r2.apk
2024-10-25 19:10
174K
ocaml-fix-20220121-r2.apk
2024-10-25 19:10
174K
ocaml-psq-dev-0.2.0-r2.apk
2024-10-25 19:10
174K
mesa-asahi-gl-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
174K
mimalloc1-debug-1.8.6-r0.apk
2024-10-25 19:10
174K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 19:10
174K
ocaml-cairo2-0.6.2-r2.apk
2024-10-25 19:10
174K
gearmand-1.1.21-r1.apk
2024-10-25 19:09
174K
telegram-tdlib-dev-1.8.41-r0.apk
2024-12-07 04:04
174K
perl-extutils-makemaker-7.70-r2.apk
2024-10-25 19:10
175K
lomiri-filemanager-app-lang-1.0.4-r0.apk
2024-10-25 19:09
175K
u-boot-s5p6818-1.2-r0.apk
2024-10-25 19:11
175K
perl-ffi-platypus-2.10-r0.apk
2024-12-19 05:41
176K
py3-netmiko-4.4.0-r0.apk
2024-10-25 19:10
176K
pyinfra-3.1.1-r0.apk
2024-10-25 19:10
176K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 19:10
176K
click-pyc-0.5.2-r3.apk
2024-10-25 19:08
176K
lcalc-2.0.5-r2.apk
2024-10-25 19:09
177K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 19:10
177K
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk
2024-11-21 13:31
178K
ocaml-base64-dev-3.5.0-r2.apk
2024-10-25 19:10
178K
virtme-ng-1.31-r0.apk
2024-10-25 19:11
178K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 19:10
179K
libsimpleble-0.6.1-r2.apk
2024-12-14 19:38
179K
ocaml-sexplib0-0.16.0-r0.apk
2024-10-25 19:10
179K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 19:10
179K
py3-xsdata-24.11-r0.apk
2024-11-03 21:03
180K
sedutil-1.15.1-r1.apk
2024-10-25 19:10
180K
hyfetch-pyc-1.99.0-r1.apk
2024-10-25 19:09
180K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 19:09
180K
Kodi
snapper-lang-0.12.0-r0.apk
2024-11-20 00:45
180K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 19:10
180K
pympress-1.8.5-r1.apk
2024-10-25 19:10
181K
sponskrub-3.7.2-r7.apk
2024-10-25 19:10
181K
cddlib-0.94m-r2.apk
2024-10-25 19:08
181K
aravis-libs-0.8.31-r0.apk
2024-10-25 19:08
182K
ace-of-penguins-1.4-r3.apk
2024-10-25 19:08
182K
py3-libguestfs-1.52.0-r1.apk
2024-10-25 19:10
182K
pympress-pyc-1.8.5-r1.apk
2024-10-25 19:10
182K
nlopt-2.8.0-r0.apk
2024-10-25 19:10
182K
opendht-3.1.7-r5.apk
2024-12-14 19:38
182K
php81-pecl-swoole-dev-6.0.0-r0.apk
2024-12-17 05:24
183K
fna3d-24.12-r0.apk
2024-12-05 16:06
183K
tcl9-dev-9.0.1-r0.apk
2024-12-22 06:14
184K
iotas-0.9.5-r0.apk
2024-12-07 20:32
184K
libcec-rpi-6.0.2-r4.apk
2024-10-25 19:09
184K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 19:10
184K
hiawatha-11.6-r0.apk
2024-10-25 19:09
185K
ocaml-astring-dev-0.8.5-r2.apk
2024-10-25 19:10
185K
whipper-pyc-0.10.0-r5.apk
2024-10-25 19:11
185K
android-file-transfer-4.3-r0.apk
2024-10-25 19:08
185K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 19:09
185K
libretro-gme-0_git20240628-r0.apk
2024-10-25 19:09
186K
dodo-0_git20241007-r0.apk
2024-10-25 19:08
186K
guake-pyc-3.10-r1.apk
2024-10-25 19:09
186K
perl-sys-virt-10.6.0-r0.apk
2024-10-25 19:10
186K
ocaml-angstrom-0.16.0-r0.apk
2024-10-25 19:10
186K
libretro-gw-0_git20220410-r0.apk
2024-10-25 19:09
187K
openjdk22-doc-22.0.2_p9-r2.apk
2024-10-25 19:10
187K
py3-ly-0.9.8-r1.apk
2024-10-25 19:10
187K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 19:08
187K
guake-lang-3.10-r1.apk
2024-10-25 19:09
188K
py3-slidge-style-parser-0.1.8-r0.apk
2024-10-25 19:10
188K
openjdk23-doc-23.0.1_p11-r0.apk
2024-10-25 19:10
188K
php81-pecl-timezonedb-2024.2-r0.apk
2024-10-25 19:10
188K
pcsc-tools-1.7.2-r0.apk
2024-10-25 19:10
189K
xandikos-pyc-0.2.12-r1.apk
2024-11-25 05:06
189K
libm4rie-static-20200125-r4.apk
2024-10-25 19:09
189K
endeavour-43.0-r2.apk
2024-12-08 21:43
189K
sublime-music-0.12.0-r1.apk
2024-10-25 19:10
190K
gearmand-doc-1.1.21-r1.apk
2024-10-25 19:09
190K
dnssec-tools-dev-2.2.3-r12.apk
2024-10-25 19:08
190K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 19:10
190K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 19:10
190K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 19:10
191K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 19:10
191K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 19:10
191K
moosefs-3.0.117-r2.apk
2024-10-25 19:10
192K
plplot-libs-5.15.0-r2.apk
2024-10-25 19:10
192K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 19:10
192K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 19:09
192K
py3-owslib-0.32.0-r0.apk
2024-11-07 22:59
193K
iwasm-gc-2.2.0-r0.apk
2024-12-05 16:06
193K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 19:10
194K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 19:10
194K
qt6ct-0.9-r3.apk
2024-12-09 19:40
195K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 19:09
195K
php81-pecl-redis-6.1.0-r0.apk
2024-10-25 19:10
195K
gingerbase-2.3.0-r7.apk
2024-10-25 19:09
195K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-10-25 19:10
196K
py3-dateparser-1.2.0-r0.apk
2024-11-23 04:18
197K
libettercap-0.8.3.1-r3.apk
2024-10-25 19:09
197K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 19:09
197K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 19:09
197K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 19:10
198K
mjpg-streamer-0_git20210220-r1.apk
2024-10-25 19:10
198K
apostrophe-lang-3.2-r0.apk
2024-10-25 19:08
198K
ocaml-react-dev-1.2.2-r2.apk
2024-10-25 19:10
198K
font-tinos-0_git20210228-r0.apk
2024-10-25 19:09
199K
boinc-libs-7.24.3-r0.apk
2024-10-25 19:08
199K
ocaml-lambdasoup-0.7.3-r2.apk
2024-10-25 19:10
199K
sane-airscan-0.99.29-r0.apk
2024-10-25 19:10
199K
poke-doc-4.2-r0.apk
2024-10-25 19:10
201K
perl-module-generic-doc-0.37.7-r0.apk
2024-11-01 14:30
201K
remake-doc-1.5-r1.apk
2024-10-25 19:10
202K
jotdown-0.4.0-r0.apk
2024-10-25 19:09
203K
endeavour-lang-43.0-r2.apk
2024-12-08 21:43
203K
horizon-0.9.6-r9.apk
2024-10-25 19:09
204K
csfml-doc-2.5.2-r0.apk
2024-10-25 19:08
204K
belcard-libs-5.3.83-r0.apk
2024-10-25 19:08
204K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 19:10
205K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 19:09
205K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 19:09
206K
mir-test-tools-2.15.0-r4.apk
2024-10-25 19:10
206K
mspdebug-0.25-r1.apk
2024-10-25 19:10
206K
ovos-core-pyc-0.2.17-r0.apk
2024-11-25 12:41
206K
himitsu-firefox-0.6-r1.apk
2024-11-28 16:16
206K
ginger-pyc-2.4.0-r7.apk
2024-10-25 19:09
207K
mint-y-theme-xfwm4-2.1.1-r0.apk
2024-10-25 19:10
207K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 19:10
208K
ocaml-fmt-0.9.0-r2.apk
2024-10-25 19:10
208K
pdal-python-plugins-1.2.1-r3.apk
2024-10-25 19:10
210K
warpinator-1.8.6-r0.apk
2024-11-12 11:04
210K
ocaml-ssl-dev-0.7.0-r0.apk
2024-10-25 19:10
211K
py3-flask-security-pyc-5.4.3-r2.apk
2024-10-25 19:10
211K
hdf4-tools-4.2.15-r2.apk
2024-10-25 19:09
211K
mkosi-24.3-r0.apk
2024-10-25 19:10
212K
hfst-dev-3.16.0-r2.apk
2024-10-25 19:09
213K
draco-dev-1.5.7-r1.apk
2024-10-25 19:09
213K
zydis-4.1.0-r0.apk
2024-10-25 19:11
213K
py3-simplesat-0.8.2-r0.apk
2024-10-25 19:10
214K
py3-b2sdk-2.7.0-r0.apk
2024-12-20 06:00
215K
chicago95-fonts-3.0.1_git20240619-r0.apk
2024-10-25 19:08
215K
libecm-7.0.5-r1.apk
2024-10-25 19:09
215K
dum-0.1.19-r1.apk
2024-10-25 19:09
217K
uxplay-1.71-r0.apk
2024-12-14 22:35
217K
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 19:09
217K
Kodi
lcalc-libs-2.0.5-r2.apk
2024-10-25 19:09
218K
diceware-0.10-r1.apk
2024-10-25 19:08
218K
apache-mod-auth-openidc-2.4.16.4-r0.apk
2024-10-25 19:08
218K
ocaml-omod-dev-0.0.3-r3.apk
2024-10-25 19:10
218K
trantor-1.5.18-r0.apk
2024-10-25 19:11
218K
catfish-4.18.0-r2.apk
2024-10-25 19:08
219K
gmic-doc-3.3.5-r1.apk
2024-11-21 23:19
219K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 19:09
219K
libzvbi-0.2.43-r0.apk
2024-12-07 20:32
219K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 19:08
219K
py3-spnego-pyc-0.10.2-r1.apk
2024-10-25 19:10
219K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 19:10
219K
gst-rtsp-server-1.24.10-r0.apk
2024-12-05 12:44
219K
pigpio-79-r4.apk
2024-10-25 19:10
220K
nuklear-4.12.0-r0.apk
2024-10-25 19:10
220K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 19:10
221K
ocaml-camlzip-dev-1.11-r2.apk
2024-10-25 19:10
221K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 19:10
221K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 19:10
221K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 19:10
222K
soqt-1.6.3-r0.apk
2024-12-13 20:44
222K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:44
222K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 19:10
223K
lomiri-thumbnailer-3.0.3-r2.apk
2024-10-25 19:09
223K
warpinator-lang-1.8.6-r0.apk
2024-11-12 11:04
224K
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:10
224K
aufs-util-20161219-r3.apk
2024-10-25 19:08
224K
lomiri-settings-components-1.1.1-r1.apk
2024-10-25 19:09
225K
parcellite-1.2.5-r0.apk
2024-10-25 19:10
225K
hunspell-es-ar-2.7-r0.apk
2024-10-25 19:09
226K
gmid-2.1.1-r0.apk
2024-11-27 19:26
227K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 19:10
227K
pdm-2.18.2-r0.apk
2024-10-25 19:10
227K
gpscorrelate-doc-2.2-r0.apk
2024-11-12 11:07
228K
ocaml-xml-light-2.5-r0.apk
2024-10-25 19:10
228K
hare-wayland-0_git20240817-r1.apk
2024-11-28 16:16
228K
lomiri-docviewer-app-3.0.4-r0.apk
2024-10-25 19:09
228K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:43
229K
firecracker-seccompiler-1.9.0-r0.apk
2024-10-25 19:09
229K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 19:10
229K
ncdu2-2.6-r0.apk
2024-10-25 19:10
229K
scap-workbench-1.2.1-r3.apk
2024-10-25 19:10
229K
qt5ct-1.8-r0.apk
2024-10-25 19:10
229K
lomiri-libusermetrics-doc-1.3.3-r0.apk
2024-10-25 19:09
230K
lomiri-clock-app-4.1.0-r0.apk
2024-12-02 11:45
230K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 19:10
230K
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 19:09
230K
par2cmdline-turbo-1.2.0-r0.apk
2024-12-10 16:35
231K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 19:09
231K
komikku-lang-1.66.0-r0.apk
2024-12-21 18:03
231K
chocolate-doom-doc-3.1.0-r0.apk
2024-10-25 19:08
231K
py3-asysocks-pyc-0.2.13-r0.apk
2024-10-25 19:10
232K
solanum-5.0.0-r0.apk
2024-10-25 19:10
232K
py3-pynest2d-5.2.2-r4.apk
2024-10-25 19:10
232K
ocaml-calendar-2.04-r4.apk
2024-10-25 19:10
233K
tup-0.7.11-r0.apk
2024-10-25 19:11
233K
py3-pelican-4.9.1-r2.apk
2024-10-25 19:10
234K
gpa-0.10.0-r2.apk
2024-10-25 19:09
235K
lomiri-weather-app-5.13.5-r1.apk
2024-10-25 19:09
235K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 19:10
236K
wlroots0.12-0.12.0-r1.apk
2024-10-25 19:11
237K
sigma-0.23.1-r1.apk
2024-10-25 19:10
237K
xdg-desktop-portal-hyprland-1.3.3-r0.apk
2024-10-25 19:11
238K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 19:09
238K
ptyxis-lang-47.6-r0.apk
2024-12-06 22:58
239K
py3-scrapy-2.11.1-r1.apk
2024-10-25 19:10
240K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 19:09
241K
Kodi
devil-1.8.0-r0.apk
2024-10-25 19:08
241K
objconv-2.52_git20210213-r2.apk
2024-10-25 19:10
242K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 19:10
243K
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 19:10
243K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 19:09
244K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 19:10
245K
libopensles-standalone-dbg-0_git20240221-r0.apk
2024-10-25 19:09
245K
swayhide-0.2.1-r2.apk
2024-10-25 19:10
245K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 19:10
245K
xfe-xfp-1.46.2-r0.apk
2024-10-25 19:11
246K
py3-pika-pyc-1.3.2-r1.apk
2024-10-25 19:10
246K
hdf4-4.2.15-r2.apk
2024-10-25 19:09
246K
visidata-2.11.1-r2.apk
2024-10-25 19:11
248K
nwg-panel-pyc-0.9.58-r0.apk
2024-12-20 20:12
248K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 19:10
248K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 19:10
249K
font-comic-neue-2.51-r0.apk
2024-10-25 19:09
249K
timew-1.4.3-r1.apk
2024-10-25 19:11
249K
linphone-dev-5.3.38-r0.apk
2024-10-25 19:09
250K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-10-25 19:10
250K
catfish-lang-4.18.0-r2.apk
2024-10-25 19:08
250K
opmsg-1.84-r1.apk
2024-10-25 19:10
250K
venc-3.2.4-r0.apk
2024-10-25 19:11
251K
font-commit-mono-1.143-r0.apk
2024-10-25 19:09
251K
flashprog-dev-1.3-r0.apk
2024-11-12 16:00
252K
perl-module-generic-0.37.7-r0.apk
2024-11-01 14:30
253K
lomiri-lang-0.3.0-r0.apk
2024-10-25 19:09
253K
snapraid-12.3-r0.apk
2024-10-25 19:10
253K
speedtest-5.2.5-r1.apk
2024-10-25 19:10
253K
vera++-1.3.0-r10.apk
2024-10-25 19:11
254K
avra-dev-1.4.2-r0.apk
2024-10-25 19:08
255K
ginger-2.4.0-r7.apk
2024-10-25 19:09
257K
cddlib-static-0.94m-r2.apk
2024-10-25 19:08
258K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:56
258K
lomiri-ui-extras-0.6.3-r1.apk
2024-10-25 19:09
258K
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-10-25 19:10
259K
ocaml-magic-mime-1.3.1-r0.apk
2024-10-25 19:10
260K
advancescan-1.18-r1.apk
2024-10-25 19:08
260K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 19:10
260K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 19:10
260K
gede-2.18.2-r1.apk
2024-10-25 19:09
261K
fiery-2.0.0-r0.apk
2024-10-25 19:09
261K
platformio-core-6.1.7-r3.apk
2024-10-25 19:10
263K
timewarrior-1.7.1-r0.apk
2024-10-25 19:11
263K
py3-minikerberos-pyc-0.4.4-r1.apk
2024-10-25 19:10
264K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 19:10
264K
openttd-doc-14.1-r0.apk
2024-10-25 19:10
264K
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-10-25 19:10
264K
font-anonymous-pro-1.002-r2.apk
2024-10-25 19:09
264K
tmate-2.4.0-r4.apk
2024-10-25 19:11
265K
ocaml-uunf-dev-14.0.0-r2.apk
2024-10-25 19:10
266K
dcmtk-doc-3.6.8-r0.apk
2024-10-25 19:08
266K
irccd-4.0.3-r0.apk
2024-10-25 19:09
266K
mailutils-3.17-r0.apk
2024-10-25 19:09
266K
ocaml-metrics-0.4.0-r3.apk
2024-10-25 19:10
267K
py3-flask-security-5.4.3-r2.apk
2024-10-25 19:10
267K
ptyxis-47.6-r0.apk
2024-12-06 22:58
267K
ocaml-num-1.4-r3.apk
2024-10-25 19:10
267K
restinio-dev-0.6.19-r1.apk
2024-12-14 19:38
268K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 19:09
268K
muon-0.3.0-r0.apk
2024-10-25 19:10
268K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 19:10
269K
libfyaml-0.9-r0.apk
2024-10-25 19:09
270K
xfe-xfi-1.46.2-r0.apk
2024-10-25 19:11
270K
xtensor-0.24.7-r0.apk
2024-10-25 19:11
270K
mediascanner2-0.115-r0.apk
2024-10-25 19:10
270K
lynis-3.1.1-r0.apk
2024-10-25 19:09
271K
drawing-lang-1.0.2-r0.apk
2024-10-25 19:09
272K
nwg-panel-0.9.58-r0.apk
2024-12-20 20:12
273K
py3-anyascii-0.3.2-r1.apk
2024-10-25 19:10
275K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 19:10
275K
gaupol-1.12-r2.apk
2024-10-25 19:09
276K
bees-0.10-r2.apk
2024-10-25 19:08
276K
gaupol-lang-1.12-r2.apk
2024-10-25 19:09
277K
ocaml-integers-dev-0.7.0-r2.apk
2024-10-25 19:10
277K
lomiri-content-hub-2.0.0-r0.apk
2024-10-25 19:09
277K
masky-0.2.0-r1.apk
2024-10-25 19:10
278K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 19:09
278K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 19:10
279K
lsmash-2.14.5-r2.apk
2024-10-25 19:09
279K
moosefs-client-3.0.117-r2.apk
2024-10-25 19:10
280K
moosefs-master-3.0.117-r2.apk
2024-10-25 19:10
280K
commoncpp-7.0.1-r1.apk
2024-10-25 19:08
280K
vectoroids-1.1.0-r2.apk
2024-10-25 19:11
281K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 19:09
281K
amule-doc-2.3.3-r13.apk
2024-10-25 19:08
281K
mergerfs-2.40.2-r1.apk
2024-10-25 19:10
282K
tree-sitter-haskell-0.23.0-r0.apk
2024-10-25 19:11
284K
hex-0.6.0-r0.apk
2024-10-25 19:09
285K
slidge-pyc-0.1.3-r0.apk
2024-10-25 19:10
285K
toybox-0.8.11-r1.apk
2024-10-25 19:11
286K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 19:10
286K
anari-sdk-0.7.2-r0.apk
2024-10-25 19:08
287K
xfe-xfw-1.46.2-r0.apk
2024-10-25 19:11
287K
emacs-ement-0.15.1-r0.apk
2024-10-25 19:09
287K
py3-imageio-2.35.1-r0.apk
2024-10-25 19:10
287K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 19:10
287K
sfwbar-1.0_beta16-r1.apk
2024-12-12 19:02
288K
lomiri-weather-app-lang-5.13.5-r1.apk
2024-10-25 19:09
288K
libqofono-qt5-0.123-r1.apk
2024-10-25 19:09
288K
libzvbi-static-0.2.43-r0.apk
2024-12-07 20:32
289K
stardict-lang-3.0.6-r6.apk
2024-10-25 19:10
290K
ngs-0.2.14-r0.apk
2024-10-25 19:10
290K
waylevel-1.0.0-r1.apk
2024-10-25 19:11
290K
ocaml-uucd-14.0.0-r2.apk
2024-10-25 19:10
290K
uasm-2.56.2-r0.apk
2024-10-25 19:11
291K
wlroots0.15-0.15.1-r6.apk
2024-10-25 19:11
291K
laminar-1.3-r4.apk
2024-10-25 19:09
293K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 19:10
293K
py3-gnucash-5.9-r1.apk
2024-11-20 00:45
294K
xvkbd-4.1-r2.apk
2024-10-25 19:11
294K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 19:10
296K
trigger-rally-0.6.7-r2.apk
2024-10-25 19:11
297K
ocaml-react-1.2.2-r2.apk
2024-10-25 19:10
297K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 19:10
298K
coxeter-libs-3.0-r1.apk
2024-10-25 19:08
298K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 19:09
298K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 19:10
298K
biometryd-0.3.1-r6.apk
2024-11-12 19:32
299K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 19:09
299K
ocaml-astring-0.8.5-r2.apk
2024-10-25 19:10
300K
ocaml-otr-0.3.10-r2.apk
2024-10-25 19:10
300K
libspatialindex-0_git20210205-r1.apk
2024-10-25 19:09
300K
iotas-pyc-0.9.5-r0.apk
2024-12-07 20:32
300K
pypy3-tkinter-7.3.12-r0.apk
2024-10-25 19:10
302K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 19:10
302K
gnucash-dev-5.9-r1.apk
2024-11-20 00:45
302K
welle-cli-2.6-r0.apk
2024-12-21 22:25
302K
libtins-4.5-r1.apk
2024-10-25 19:09
303K
apache2-mod-perl-doc-2.0.13-r1.apk
2024-10-25 19:08
303K
litehtml-0.9-r0.apk
2024-10-25 19:09
304K
apache-mod-auth-openidc-static-2.4.16.4-r0.apk
2024-10-25 19:08
305K
ocaml-conduit-6.1.0-r0.apk
2024-10-25 19:10
305K
ocaml-pcre-dev-7.5.0-r4.apk
2024-10-25 19:10
305K
guake-3.10-r1.apk
2024-10-25 19:09
305K
py3-plexapi-pyc-4.16.0-r0.apk
2024-11-25 14:13
306K
morph-browser-lang-1.1.1-r0.apk
2024-10-25 19:10
306K
rizin-dev-0.6.3-r1.apk
2024-10-25 19:10
306K
guestfs-tools-1.52.0-r1.apk
2024-10-25 19:09
306K
kodi-pvr-hts-20.6.2-r1.apk
2024-10-25 19:09
307K
Kodi
diskus-0.7.0-r2.apk
2024-10-25 19:08
307K
habitctl-0.1.0-r2.apk
2024-10-25 19:09
307K
helvum-0.5.1-r0.apk
2024-10-25 19:09
307K
csmith-2.3.0-r2.apk
2024-10-25 19:08
309K
pw-volume-0.5.0-r1.apk
2024-10-25 19:10
309K
fileshelter-6.2.0-r2.apk
2024-12-07 00:23
310K
plplot-doc-5.15.0-r2.apk
2024-10-25 19:10
311K
cluster-glue-1.0.12-r5.apk
2024-10-25 19:08
311K
flint-dev-2.9.0-r2.apk
2024-10-25 19:09
311K
minisatip-1.3.4-r0.apk
2024-10-25 19:10
312K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:31
312K
xgalaga-2.1.1.0-r1.apk
2024-10-25 19:11
313K
py3-msldap-pyc-0.5.12-r0.apk
2024-10-25 19:10
315K
ocaml-curses-dev-1.0.10-r2.apk
2024-10-25 19:10
315K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 19:09
315K
ripdrag-0.4.10-r0.apk
2024-10-25 19:10
315K
dnssec-tools-doc-2.2.3-r12.apk
2024-10-25 19:08
315K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 19:09
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 19:09
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 19:09
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 19:09
316K
tree-sitter-kotlin-0.3.8-r0.apk
2024-10-25 19:11
316K
perl-libintl-perl-1.33-r1.apk
2024-10-25 19:10
316K
pypykatz-0.6.10-r0.apk
2024-10-25 19:10
318K
fuzzylite-libs-6.0-r0.apk
2024-10-25 19:09
318K
projectm-sdl-3.1.12-r2.apk
2024-10-25 19:10
318K
libguestfs-1.52.0-r1.apk
2024-10-25 19:09
320K
gstreamermm-dev-1.10.0-r4.apk
2024-10-25 19:09
320K
monetdb-doc-11.33.11-r4.apk
2024-10-25 19:10
321K
foma-0.10.0_git20240712-r0.apk
2024-10-25 19:09
322K
rclone-browser-1.8.0-r1.apk
2024-10-25 19:10
323K
opentelemetry-cpp-dev-1.11.0-r5.apk
2024-11-11 18:08
323K
ocaml-ocf-dev-0.8.0-r3.apk
2024-10-25 19:10
325K
coin-dev-4.0.3-r0.apk
2024-12-13 20:39
326K
libblastrampoline-5.2.0-r0.apk
2024-10-25 19:09
329K
ocaml-notty-0.2.3-r0.apk
2024-10-25 19:10
329K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 19:10
329K
openwsman-libs-2.7.2-r5.apk
2024-10-25 19:10
330K
electron-dev-33.3.0-r0.apk
2024-12-08 00:59
330K
pyinfra-pyc-3.1.1-r0.apk
2024-10-25 19:10
330K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-10-25 19:10
331K
code-minimap-0.6.7-r0.apk
2024-12-12 19:37
332K
py3-eventlet-0.38.1-r0.apk
2024-12-11 21:36
332K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 19:10
332K
perl-snmp-info-3.972002-r0.apk
2024-10-30 05:59
333K
py3-netmiko-pyc-4.4.0-r0.apk
2024-10-25 19:10
334K
py3-dateparser-pyc-1.2.0-r0.apk
2024-11-23 04:18
334K
libvmaf-3.0.0-r0.apk
2024-10-25 19:09
334K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 19:38
335K
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-10-25 19:10
335K
ocaml-gen-1.1-r1.apk
2024-10-25 19:10
335K
lomiri-filemanager-app-1.0.4-r0.apk
2024-10-25 19:09
335K
wlroots0.16-0.16.2-r0.apk
2024-10-28 13:47
336K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 19:09
336K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 21:36
336K
mkosi-pyc-24.3-r0.apk
2024-10-25 19:10
336K
barman-3.11.1-r0.apk
2024-10-25 19:08
336K
3proxy-0.9.4-r1.apk
2024-10-25 19:08
337K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-10-25 19:10
338K
php81-pear-8.1.31-r0.apk
2024-11-20 02:36
338K
bartib-1.0.1-r1.apk
2024-10-25 19:08
338K
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk
2024-10-25 19:09
339K
Kodi
mesa-asahi-dev-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
339K
psftools-1.1.2-r0.apk
2024-10-25 19:10
339K
ocaml-ipaddr-5.3.1-r2.apk
2024-10-25 19:10
339K
sigma-pyc-0.23.1-r1.apk
2024-10-25 19:10
340K
eclib-20240408-r1.apk
2024-10-25 19:09
343K
vixl-dev-7.0.0-r0.apk
2024-10-25 19:11
343K
bkt-0.8.0-r0.apk
2024-10-25 19:08
343K
toml2json-1.3.1-r0.apk
2024-10-25 19:11
344K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-10-25 19:10
344K
tree-sitter-c-sharp-0.23.1-r0.apk
2024-11-13 00:51
344K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 19:09
345K
perl-dbix-class-doc-0.082843-r1.apk
2024-10-25 19:10
345K
bobcat-doc-4.09.00-r0.apk
2024-10-25 19:08
345K
cutechess-cli-1.3.1-r0.apk
2024-10-25 19:08
346K
liquid-dsp-1.5.0-r0.apk
2024-10-25 19:09
346K
speakersafetyd-1.0.2-r0.apk
2024-11-01 15:07
346K
perl-html-object-0.5.1-r0.apk
2024-10-25 19:10
348K
pympress-doc-1.8.5-r1.apk
2024-10-25 19:10
348K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-10-25 19:10
349K
ocaml-utop-2.9.1-r4.apk
2024-10-25 19:10
349K
lgogdownloader-3.12-r3.apk
2024-10-25 19:09
349K
xml2rfc-3.25.0-r0.apk
2024-12-12 19:37
350K
lomiri-history-service-0.6-r2.apk
2024-12-07 19:21
350K
planner-0.14.92-r1.apk
2024-12-08 21:43
351K
ocaml-omod-0.0.3-r3.apk
2024-10-25 19:10
352K
musikcube-plugin-server-3.0.4-r0.apk
2024-10-25 19:10
354K
py3-ly-pyc-0.9.8-r1.apk
2024-10-25 19:10
355K
ocaml-down-dev-0.1.0-r3.apk
2024-10-25 19:10
357K
checkpolicy-3.6-r0.apk
2024-10-25 19:08
357K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 19:09
357K
lomiri-app-launch-0.1.9-r3.apk
2024-10-25 19:09
358K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 19:10
358K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 19:09
358K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 19:10
358K
ocaml-bos-dev-0.2.1-r2.apk
2024-10-25 19:10
359K
py3-pycaption-2.2.15-r0.apk
2024-10-25 19:10
360K
sndfile-tools-doc-1.5-r1.apk
2024-10-25 19:10
361K
gnome-latex-3.47.0-r2.apk
2024-12-12 19:33
363K
highctidh-1.0.2024092800-r0.apk
2024-11-25 20:23
364K
py3-hfst-3.16.0-r2.apk
2024-10-25 19:10
364K
mcron-1.0.0-r1.apk
2024-11-28 16:16
364K
gloox-1.0.28-r0.apk
2024-10-25 19:09
365K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 19:10
365K
lsmash-dev-2.14.5-r2.apk
2024-10-25 19:09
366K
py3-django-suit-0.2.28-r8.apk
2024-10-25 19:10
366K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 19:11
366K
keydb-cli-6.3.4-r0.apk
2024-10-25 19:09
369K
wcm-0.8.0-r0.apk
2024-10-25 19:11
369K
desed-1.2.1-r1.apk
2024-10-25 19:08
369K
ovos-core-0.2.17-r0.apk
2024-11-25 12:41
369K
ocaml-biniou-dev-1.2.1-r5.apk
2024-10-25 19:10
369K
wlroots0.17-0.17.4-r1.apk
2024-11-24 07:46
370K
py3-bleak-0.22.3-r0.apk
2024-10-25 19:10
370K
jackdaw-pyc-0.3.1-r1.apk
2024-10-25 19:09
370K
py3-igraph-pyc-0.11.8-r0.apk
2024-11-24 07:46
371K
mediastreamer2-5.3.41-r0.apk
2024-10-25 19:10
371K
singular-dev-4.4.0-r0.apk
2024-10-25 19:10
371K
advancemame-doc-3.9-r4.apk
2024-10-25 19:08
374K
libntl-doc-11.5.1-r4.apk
2024-10-25 19:09
374K
lomiri-calculator-app-4.0.2-r0.apk
2024-10-25 19:09
375K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 20:23
376K
php81-fileinfo-8.1.31-r0.apk
2024-11-20 02:36
377K
kimchi-pyc-3.0.0-r7.apk
2024-10-25 19:09
378K
perl-snmp-info-doc-3.972002-r0.apk
2024-10-30 05:59
379K
freshrss-lang-1.23.1-r1.apk
2024-10-25 19:09
379K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 19:09
380K
py3-pacparser-1.4.5-r1.apk
2024-10-25 19:10
381K
qtile-0.23.0-r2.apk
2024-10-25 19:10
382K
river-luatile-0.1.3-r0.apk
2024-10-25 19:10
382K
grip-4.2.4-r0.apk
2024-10-25 19:09
383K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 19:10
383K
schismtracker-20231029-r0.apk
2024-10-25 19:10
384K
snapweb-0.7.0-r0.apk
2024-10-25 19:10
385K
terminalpp-0.8.4-r0.apk
2024-10-25 19:10
385K
cargo-sort-1.0.9_git20240110-r0.apk
2024-10-25 19:08
386K
pastel-0.10.0-r0.apk
2024-10-25 19:10
387K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 19:10
388K
nvim-treesitter-0.9.3-r0.apk
2024-11-04 03:04
388K
amber-0.3.3-r0.apk
2024-10-25 19:08
388K
welle-io-2.6-r0.apk
2024-12-21 22:25
389K
htslib-1.19-r0.apk
2024-10-25 19:09
389K
ocaml-xml-light-dev-2.5-r0.apk
2024-10-25 19:10
389K
py3-xsdata-pyc-24.11-r0.apk
2024-11-03 21:03
390K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 19:08
391K
lizardfs-chunkserver-3.13.0-r14.apk
2024-12-04 11:57
394K
zycore-doc-1.5.0-r0.apk
2024-10-25 19:11
394K
simgear-dev-2020.3.19-r1.apk
2024-10-25 19:10
394K
piper-phonemize-dev-2023.11.14.4-r5.apk
2024-10-25 19:10
394K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 19:09
395K
drumgizmo-0.9.20-r1.apk
2024-10-25 19:09
395K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-10-25 19:10
395K
libqofono-qt6-0.123-r1.apk
2024-10-25 19:09
395K
noblenote-1.2.1-r1.apk
2024-10-25 19:10
396K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 19:11
397K
openvpn3-3.8.5-r0.apk
2024-10-25 19:10
398K
appcenter-8.0.0-r0.apk
2024-11-12 21:56
399K
py3-igraph-0.11.8-r0.apk
2024-11-24 07:46
400K
klfc-doc-1.5.7-r0.apk
2024-10-25 19:09
400K
ocaml-parsexp-0.16.0-r0.apk
2024-10-25 19:10
401K
startup-2.0.3-r5.apk
2024-10-25 19:10
401K
py3-b2sdk-pyc-2.7.0-r0.apk
2024-12-20 06:00
402K
knxd-0.14.61-r1.apk
2024-12-14 19:38
402K
birdtray-1.9.0-r1.apk
2024-10-25 19:08
403K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 20:23
403K
xml2rfc-pyc-3.25.0-r0.apk
2024-12-12 19:37
404K
py3-fastavro-1.10.0-r0.apk
2024-12-21 10:25
407K
aws-lc-dev-1.41.1-r0.apk
2024-12-22 06:14
408K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 19:10
408K
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 19:09
409K
Kodi
nitrocli-0.4.1-r3.apk
2024-10-25 19:10
410K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 19:09
413K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 19:09
413K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 19:09
413K
hare-compress-0_git20240623-r1.apk
2024-11-28 16:16
413K
svgbob-0.7.2-r0.apk
2024-10-25 19:10
415K
seastar-dev-22.11.0_git20240815-r4.apk
2024-12-18 09:36
416K
ocaml-topkg-dev-1.0.5-r2.apk
2024-10-25 19:10
418K
ocaml-qtest-2.11.2-r3.apk
2024-10-25 19:10
418K
diskonaut-0.11.0-r3.apk
2024-10-25 19:08
419K
gaupol-pyc-1.12-r2.apk
2024-10-25 19:09
419K
qtpass-1.4.0-r0.apk
2024-10-25 19:10
421K
py3-owslib-pyc-0.32.0-r0.apk
2024-11-07 22:59
421K
batmon-0.0.1-r0.apk
2024-10-25 19:08
422K
arcticons-icon-theme-light-9.6.5.0-r0.apk
2024-10-25 19:08
423K
arcticons-icon-theme-dark-9.6.5.0-r0.apk
2024-10-25 19:08
423K
wamrc-2.2.0-r0.apk
2024-12-05 16:06
424K
qsynth-1.0.2-r0.apk
2024-10-25 19:10
424K
ircd-hybrid-8.2.45-r1.apk
2024-10-25 19:09
424K
cargo-vendor-filterer-0.5.9-r1.apk
2024-10-25 19:08
424K
esptool-4.8.1-r0.apk
2024-10-25 19:09
424K
lol-html-1.1.1-r1.apk
2024-10-25 19:09
425K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 19:09
425K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 19:08
425K
rpicam-apps-1.5.0-r1.apk
2024-10-25 19:10
425K
libantlr4-4.13.2-r0.apk
2024-12-12 11:12
427K
geotagging-0.7.4-r0.apk
2024-11-12 11:04
430K
font-stix-ttf-2.13-r0.apk
2024-10-25 19:09
430K
perl-dbix-class-0.082843-r1.apk
2024-10-25 19:10
430K
ocaml-fix-dev-20220121-r2.apk
2024-10-25 19:10
431K
hyfetch-1.99.0-r1.apk
2024-10-25 19:09
433K
keydb-benchmark-6.3.4-r0.apk
2024-10-25 19:09
433K
projectm-3.1.12-r2.apk
2024-10-25 19:10
434K
critcl-3.3.1-r0.apk
2024-10-25 19:08
435K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 19:10
437K
lcalc-doc-2.0.5-r2.apk
2024-10-25 19:09
437K
exabgp-4.2.22-r0.apk
2024-11-30 10:47
437K
spice-html5-0.3.0-r1.apk
2024-10-25 19:10
438K
mnemosyne-lang-2.10.1-r1.apk
2024-10-25 19:10
439K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 19:10
440K
xsane-lang-0.999-r2.apk
2024-10-25 19:11
440K
cln-1.3.7-r0.apk
2024-10-25 19:08
442K
heh-0.6.1-r0.apk
2024-10-25 19:09
442K
ghostcloud-0.9.9.5-r2.apk
2024-10-25 19:09
443K
mimalloc1-dev-1.8.6-r0.apk
2024-10-25 19:10
444K
tomcat9-examples-9.0.97-r0.apk
2024-11-20 00:46
445K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 19:09
445K
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 19:10
445K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 19:10
446K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-10-25 19:10
446K
py3-telegram-bot-21.7-r0.apk
2024-12-01 17:08
446K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-10-25 19:10
448K
lomiri-clock-app-lang-4.1.0-r0.apk
2024-12-02 11:45
449K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 19:09
450K
py3-flask-bootstrap-3.3.7.1-r8.apk
2024-10-25 19:10
450K
qstardict-2.0.2-r1.apk
2024-11-24 07:46
451K
lout-doc-3.42.2-r0.apk
2024-10-25 19:09
453K
neko-2.3.0-r0.apk
2024-11-21 00:55
453K
pypy-tkinter-7.3.12-r0.apk
2024-10-25 19:10
453K
megazeux-doc-2.93b-r0.apk
2024-10-25 19:10
454K
mapnik-dev-3.1.0-r29.apk
2024-11-07 23:59
454K
gnome-metronome-1.3.0-r0.apk
2024-10-25 19:09
455K
ocaml-metrics-dev-0.4.0-r3.apk
2024-10-25 19:10
456K
timeshift-24.06.5-r0.apk
2024-12-05 20:47
457K
ocaml-otoml-1.0.5-r0.apk
2024-10-25 19:10
460K
qflipper-1.3.3-r1.apk
2024-10-25 19:10
462K
featherpad-lang-1.5.1-r0.apk
2024-10-25 19:09
463K
libppl-1.2-r1.apk
2024-10-25 19:09
471K
gstreamermm-1.10.0-r4.apk
2024-10-25 19:09
471K
motion-lang-4.7.0-r0.apk
2024-10-25 19:10
471K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 19:10
472K
mm-common-1.0.5-r0.apk
2024-10-25 19:10
473K
libmedc-python-pyc-4.1.1-r4.apk
2024-10-25 19:09
475K
wordgrinder-0.8-r2.apk
2024-10-25 19:11
476K
vcdimager-2.0.1-r3.apk
2024-10-25 19:11
476K
mmtc-0.3.2-r0.apk
2024-10-25 19:10
476K
chicago95-3.0.1_git20240619-r0.apk
2024-10-25 19:08
481K
libsigrok-0.5.2-r3.apk
2024-10-25 19:09
481K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 19:10
482K
pdm-pyc-2.18.2-r0.apk
2024-10-25 19:10
482K
logwatch-7.10-r1.apk
2024-10-25 19:09
483K
php82-pdlib-1.1.0-r1.apk
2024-10-25 19:10
484K
quakespasm-0.96.3-r0.apk
2024-10-25 19:10
485K
ocaml-alcotest-1.5.0-r4.apk
2024-10-25 19:10
486K
uucp-1.07-r6.apk
2024-10-25 19:11
487K
gr-satellites-5.5.0-r4.apk
2024-12-18 12:16
488K
ocaml-ocp-index-1.3.6-r0.apk
2024-10-25 19:10
488K
mint-x-theme-gtk2-2.1.1-r0.apk
2024-10-25 19:10
490K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 19:09
490K
ocaml-bos-0.2.1-r2.apk
2024-10-25 19:10
492K
gutenprint-libs-5.3.4-r5.apk
2024-10-25 19:09
493K
ocaml-cmdliner-1.1.1-r3.apk
2024-10-25 19:10
495K
volatility3-2.8.0-r0.apk
2024-12-05 17:17
496K
macchina-6.1.8-r1.apk
2024-10-25 19:09
496K
htslib-static-1.19-r0.apk
2024-10-25 19:09
496K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:43
497K
visidata-pyc-2.11.1-r2.apk
2024-10-25 19:11
497K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 19:10
498K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 19:10
500K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 19:09
502K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 19:10
502K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 19:10
503K
bore-0.5.2-r0.apk
2024-12-15 19:25
504K
py3-imageio-pyc-2.35.1-r0.apk
2024-10-25 19:10
504K
log4cxx-1.1.0-r2.apk
2024-12-14 19:38
507K
php81-opcache-8.1.31-r0.apk
2024-11-20 02:36
508K
ocaml-sexplib-0.16.0-r0.apk
2024-10-25 19:10
509K
libguestfs-static-1.52.0-r1.apk
2024-10-25 19:09
509K
mint-x-theme-gtk4-2.1.1-r0.apk
2024-10-25 19:10
510K
cdist-7.0.0-r6.apk
2024-10-25 19:08
511K
ovn-doc-24.03.1-r0.apk
2024-10-25 19:10
512K
plots-0.7.0-r1.apk
2024-11-06 09:41
516K
py3-apsw-pyc-3.47.2.0-r0.apk
2024-12-16 07:26
516K
litehtml-static-0.9-r0.apk
2024-10-25 19:09
517K
ocaml-lwd-0.3-r0.apk
2024-10-25 19:10
518K
liquid-dsp-dev-1.5.0-r0.apk
2024-10-25 19:09
519K
scooper-1.3-r1.apk
2024-10-25 19:10
519K
qtmir-0.7.2-r2.apk
2024-10-25 19:10
522K
riemann-cli-0.8.0-r2.apk
2024-10-25 19:10
525K
tiny-0.12.0-r0.apk
2024-10-25 19:11
526K
libunicode-0.6.0-r1.apk
2024-12-14 19:38
527K
httrack-doc-3.49.2-r5.apk
2024-10-25 19:09
528K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-10-25 19:10
529K
splitter-0.3.0-r0.apk
2024-10-25 19:10
529K
py3-nikola-pyc-8.3.1-r0.apk
2024-10-25 19:10
530K
gnome-latex-lang-3.47.0-r2.apk
2024-12-12 19:33
530K
ocaml-otr-dev-0.3.10-r2.apk
2024-10-25 19:10
531K
parse-changelog-0.6.8-r0.apk
2024-10-25 19:10
532K
ttyper-1.5.0-r0.apk
2024-10-25 19:11
533K
aspell-es-1.11-r0.apk
2024-10-25 19:08
533K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 19:09
534K
xfe-lang-1.46.2-r0.apk
2024-10-25 19:11
534K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 19:09
534K
barman-pyc-3.11.1-r0.apk
2024-10-25 19:08
536K
kimchi-3.0.0-r7.apk
2024-10-25 19:09
536K
marxan-4.0.7-r1.apk
2024-10-25 19:10
537K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 19:10
538K
faust-static-2.60.3-r2.apk
2024-10-25 19:09
539K
mapserver-dev-8.2.2-r2.apk
2024-11-09 18:59
540K
mailutils-libs-3.17-r0.apk
2024-10-25 19:09
540K
opentelemetry-cpp-1.11.0-r5.apk
2024-11-11 18:08
541K
ocaml-zed-3.1.0-r3.apk
2024-10-25 19:10
542K
nitro-2.7_beta8-r2.apk
2024-10-25 19:10
543K
bird3-3.0.0-r0.apk
2024-12-20 17:10
544K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 19:09
545K
rpg-cli-1.2.0-r0.apk
2024-10-25 19:10
546K
esptool-pyc-4.8.1-r0.apk
2024-10-25 19:09
549K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 19:10
550K
postgresql-pg_graphql-1.4.2-r0.apk
2024-10-25 19:10
552K
ocaml-conduit-dev-6.1.0-r0.apk
2024-10-25 19:10
552K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 19:10
552K
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-10-25 19:10
553K
morph-browser-1.1.1-r0.apk
2024-10-25 19:10
554K
pypy3-dev-7.3.12-r0.apk
2024-10-25 19:10
556K
ocaml-re-1.11.0-r1.apk
2024-10-25 19:10
559K
libguestfs-doc-1.52.0-r1.apk
2024-10-25 19:09
560K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 19:10
560K
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
561K
libuninameslist-20230916-r0.apk
2024-10-25 19:09
563K
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-10-25 19:10
564K
php81-mbstring-8.1.31-r0.apk
2024-11-20 02:36
565K
py3-dbus-fast-2.24.4-r0.apk
2024-11-20 00:45
566K
perl-libintl-perl-doc-1.33-r1.apk
2024-10-25 19:10
567K
rygel-lang-0.44.1-r0.apk
2024-12-01 12:57
567K
py3-textual-0.87.1-r0.apk
2024-12-07 20:23
567K
orage-4.18.0-r0.apk
2024-10-25 19:10
568K
opendht-libs-3.1.7-r5.apk
2024-12-14 19:38
568K
font-tiresias-0_git20200704-r0.apk
2024-10-25 19:09
568K
libmedc-4.1.1-r4.apk
2024-10-25 19:09
568K
ocaml-ocp-indent-1.8.2-r2.apk
2024-10-25 19:10
571K
wayfire-plugins-extra-0.8.1-r1.apk
2024-10-25 19:11
571K
java-asmtools-8.0.09-r0.apk
2024-10-25 19:09
574K
ode-0.16.5-r0.apk
2024-10-25 19:10
576K
ocaml-uuidm-tools-0.9.8-r2.apk
2024-10-25 19:10
577K
ocaml-ounit-2.2.7-r3.apk
2024-10-25 19:10
577K
nsh-0.4.2-r1.apk
2024-10-25 19:10
578K
ocaml-jsonm-tools-1.0.2-r0.apk
2024-10-25 19:10
578K
lomiri-download-manager-0.1.3-r3.apk
2024-10-25 19:09
578K
ocaml-bitstring-dev-4.1.0-r3.apk
2024-10-25 19:10
578K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-10-25 19:10
579K
felix-2.14.0-r1.apk
2024-11-22 18:28
579K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-10-25 19:10
582K
pari-2.17.0-r0.apk
2024-10-25 19:10
583K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 19:10
583K
jaq-2.0.1-r0.apk
2024-12-14 20:12
583K
belle-sip-5.3.83-r0.apk
2024-10-25 19:08
584K
xonsh-0.18.2-r0.apk
2024-10-25 19:11
588K
boinc-dev-7.24.3-r0.apk
2024-10-25 19:08
591K
mdbook-katex-0.8.1-r0.apk
2024-10-25 19:10
593K
py3-beartype-pyc-0.19.0-r0.apk
2024-10-25 19:10
596K
uranium-5.2.2-r3.apk
2024-10-25 19:11
596K
greetd-wlgreet-0.5.0-r0.apk
2024-10-25 19:09
596K
ruby-yard-0.9.37-r0.apk
2024-10-25 19:10
596K
gufw-24.04-r3.apk
2024-11-20 00:45
596K
lomiri-indicator-network-1.0.2-r2.apk
2024-10-25 19:09
598K
gnu-apl-dev-1.9-r0.apk
2024-10-25 19:09
599K
gamja-1.0.0_beta9-r0.apk
2024-10-25 19:09
599K
ocaml-erm_xml-0_git20211229-r2.apk
2024-10-25 19:10
601K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 19:10
603K
mint-x-theme-gtk3-2.1.1-r0.apk
2024-10-25 19:10
603K
py3-aiosmb-0.4.11-r0.apk
2024-10-25 19:10
605K
mnemosyne-2.10.1-r1.apk
2024-10-25 19:10
607K
moosefs-static-3.0.117-r2.apk
2024-10-25 19:10
608K
ocaml-menhir-doc-20220210-r3.apk
2024-12-08 16:19
610K
ettercap-0.8.3.1-r3.apk
2024-10-25 19:09
610K
ppl-dev-1.2-r1.apk
2024-10-25 19:10
613K
ocaml-gen-dev-1.1-r1.apk
2024-10-25 19:10
614K
ocaml-notty-dev-0.2.3-r0.apk
2024-10-25 19:10
615K
eva-0.3.1-r2.apk
2024-10-25 19:09
615K
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-10-25 19:10
616K
kalker-2.2.1-r0.apk
2024-10-25 19:09
617K
create-tauri-app-4.5.8-r0.apk
2024-12-13 15:23
621K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 19:09
626K
ocaml-amqp-client-2.3.0-r0.apk
2024-10-25 19:10
627K
mnemosyne-pyc-2.10.1-r1.apk
2024-10-25 19:10
628K
projectm-dev-3.1.12-r2.apk
2024-10-25 19:10
629K
py3-trimesh-3.22.1-r2.apk
2024-10-25 19:10
629K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 19:09
634K
pure-data-libs-0.54.1-r0.apk
2024-10-25 19:10
634K
gutenprint-samples-5.3.4-r5.apk
2024-10-25 19:09
635K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-10-25 19:10
638K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 19:09
639K
lumins-0.4.0-r2.apk
2024-10-25 19:09
640K
bonzomatic-20230615-r0.apk
2024-10-25 19:08
642K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 19:10
644K
dbus-waiter-0.2.0-r0.apk
2024-10-25 19:08
645K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 19:10
651K
kondo-0.8-r0.apk
2024-10-25 19:09
652K
font-material-icons-4.0.0-r0.apk
2024-10-25 19:09
652K
paperde-0.2.1-r2.apk
2024-10-25 19:10
652K
supermin-5.2.2-r2.apk
2024-10-25 19:10
654K
ocaml-topkg-1.0.5-r2.apk
2024-10-25 19:10
655K
mint-y-theme-gtk2-2.1.1-r0.apk
2024-10-25 19:10
656K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 19:10
656K
nicotine-plus-lang-3.3.7-r0.apk
2024-12-16 14:21
661K
ocaml-extlib-1.7.9-r2.apk
2024-10-25 19:10
667K
bobcat-4.09.00-r0.apk
2024-10-25 19:08
669K
perl-gtk2-doc-1.24993-r6.apk
2024-10-25 19:10
670K
udpt-3.1.2-r0.apk
2024-10-25 19:11
673K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 19:10
673K
mono-dev-6.12.0.205-r1.apk
2024-10-25 19:10
674K
firehol-doc-3.1.7-r2.apk
2024-10-25 19:09
675K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 19:10
675K
yaru-sounds-23.10.0-r1.apk
2024-12-01 02:28
676K
ocaml-xmlm-1.4.0-r2.apk
2024-10-25 19:10
676K
font-monocraft-4.0-r0.apk
2024-10-25 19:09
677K
netdiscover-0.10-r0.apk
2024-10-25 19:10
680K
pitivi-lang-2023.03-r1.apk
2024-10-25 19:10
680K
featherpad-1.5.1-r0.apk
2024-10-25 19:09
680K
ocaml-higlo-dev-0.9-r0.apk
2024-10-25 19:10
681K
libmdbx-0.11.8-r0.apk
2024-10-25 19:09
684K
openvpn3-dev-3.8.5-r0.apk
2024-10-25 19:10
686K
ocaml-biniou-1.2.1-r5.apk
2024-10-25 19:10
688K
smile-2.9.5-r0.apk
2024-10-25 19:10
694K
bobcat-dev-4.09.00-r0.apk
2024-10-25 19:08
694K
ecasound-2.9.3-r3.apk
2024-10-25 19:09
695K
rpi-imager-1.9.0-r0.apk
2024-10-25 19:10
696K
ocaml-down-0.1.0-r3.apk
2024-10-25 19:10
697K
pacparser-1.4.5-r1.apk
2024-10-25 19:10
702K
pitivi-pyc-2023.03-r1.apk
2024-10-25 19:10
704K
libsemigroups-2.7.3-r1.apk
2024-12-14 19:38
707K
pypykatz-pyc-0.6.10-r0.apk
2024-10-25 19:10
708K
mpdris2-rs-0.2.3-r0.apk
2024-10-25 19:10
708K
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 19:10
709K
py3-telegram-bot-pyc-21.7-r0.apk
2024-12-01 17:08
711K
ocaml-cstruct-dev-6.1.0-r3.apk
2024-10-25 19:10
711K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 19:10
713K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 19:10
713K
ocaml-cohttp-5.3.1-r0.apk
2024-10-25 19:10
715K
mepo-1.3.3-r0.apk
2024-10-25 19:10
715K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:43
715K
simp1e-cursors-solarized-0_git20211003-r0.apk
2024-10-25 19:10
717K
sudo-ldap-1.9.14-r1.apk
2024-10-25 19:10
718K
qtile-pyc-0.23.0-r2.apk
2024-10-25 19:10
724K
yaru-theme-mate-23.10.0-r1.apk
2024-12-01 02:28
727K
android-translation-layer-dbg-0_git20241103-r0.apk
2024-11-06 10:16
729K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 19:10
729K
tsung-1.8.0-r2.apk
2024-10-25 19:11
730K
komikku-pyc-1.66.0-r0.apk
2024-12-21 18:03
731K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 19:09
731K
py3-trimesh-pyc-3.22.1-r2.apk
2024-10-25 19:10
731K
castor-0.9.0-r2.apk
2024-10-25 19:08
732K
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 19:10
733K
yaru-shell-23.10.0-r1.apk
2024-12-01 02:28
735K
hdr10plus-tool-1.6.0-r0.apk
2024-10-25 19:09
739K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 19:11
741K
simp1e-cursors-0_git20211003-r0.apk
2024-10-25 19:10
741K
apache2-mod-perl-2.0.13-r1.apk
2024-10-25 19:08
743K
video-trimmer-0.9.0-r0.apk
2024-10-25 19:11
743K
nextpnr-generic-0.7-r0.apk
2024-10-25 19:10
745K
dlib-19.24.4-r0.apk
2024-10-25 19:08
745K
eww-dbg-0.4.0-r1.apk
2024-10-25 19:09
746K
buildcache-0.28.9-r0.apk
2024-10-25 19:08
747K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 19:10
748K
responder-3.1.5.0-r0.apk
2024-10-25 19:10
750K
freshrss-doc-1.23.1-r1.apk
2024-10-25 19:09
751K
rdedup-3.2.1-r5.apk
2024-10-25 19:10
753K
transito-doc-0.8.4-r0.apk
2024-11-20 00:46
753K
yaru-theme-purple-23.10.0-r1.apk
2024-12-01 02:28
756K
rtw89-src-7_p20230725-r0.apk
2024-10-25 19:10
759K
yaru-theme-olive-23.10.0-r1.apk
2024-12-01 02:28
759K
yaru-theme-viridian-23.10.0-r1.apk
2024-12-01 02:28
760K
yaru-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 02:28
760K
yaru-theme-red-23.10.0-r1.apk
2024-12-01 02:28
760K
maxima-doc-5.47.0-r8.apk
2024-10-25 19:10
761K
yaru-theme-magenta-23.10.0-r1.apk
2024-12-01 02:28
761K
yaru-theme-sage-23.10.0-r1.apk
2024-12-01 02:28
762K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 19:10
763K
yaru-theme-bark-23.10.0-r1.apk
2024-12-01 02:28
763K
twiggy-0.6.0-r3.apk
2024-10-25 19:11
764K
yaru-theme-blue-23.10.0-r1.apk
2024-12-01 02:28
765K
httrack-3.49.2-r5.apk
2024-10-25 19:09
765K
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
git-cola-pyc-4.10.1-r0.apk
2024-12-15 19:24
770K
faust-dev-2.60.3-r2.apk
2024-10-25 19:09
771K
dnssec-tools-2.2.3-r12.apk
2024-10-25 19:08
771K
ocaml-iri-dev-1.0.0-r0.apk
2024-10-25 19:10
773K
rygel-0.44.1-r0.apk
2024-12-01 12:57
774K
sdl3-3.1.6-r0.apk
2024-11-02 20:29
776K
simp1e-cursors-dark-0_git20211003-r0.apk
2024-10-25 19:10
780K
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-10-25 19:10
780K
nicotine-plus-pyc-3.3.7-r0.apk
2024-12-16 14:21
781K
grass-0.13.4-r0.apk
2024-10-25 19:09
782K
ocaml-otoml-dev-1.0.5-r0.apk
2024-10-25 19:10
783K
ocaml-uutf-1.0.3-r2.apk
2024-10-25 19:10
786K
e16-1.0.30-r0.apk
2024-11-05 13:22
787K
critcl-doc-3.3.1-r0.apk
2024-10-25 19:08
787K
azpainter-3.0.9-r0.apk
2024-10-25 19:08
788K
eiwd-2.22-r0.apk
2024-10-25 19:09
789K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 19:10
791K
font-chivo-0_git20221110-r0.apk
2024-10-25 19:09
792K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 19:09
794K
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-10-25 19:10
795K
otrs-doc-6.0.48-r2.apk
2024-10-25 19:10
795K
kmscon-9.0.0-r0.apk
2024-10-25 19:09
800K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 19:10
801K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 19:08
804K
hurlfmt-6.0.0-r0.apk
2024-12-07 20:19
804K
libabigail-2.3-r0.apk
2024-10-25 19:09
805K
powder-toy-97.0.352-r1.apk
2024-10-25 19:10
805K
simavr-dev-1.7-r1.apk
2024-10-25 19:10
806K
py3-python-stdnum-1.20-r0.apk
2024-10-25 19:10
806K
draco-1.5.7-r1.apk
2024-10-25 19:09
806K
pulumi-watch-0.1.5-r2.apk
2024-10-25 19:10
806K
lomiri-system-settings-lang-1.2.0-r0.apk
2024-10-25 19:09
807K
nmap-parse-output-doc-1.5.1-r0.apk
2024-10-25 19:10
807K
gutenprint-5.3.4-r5.apk
2024-10-25 19:09
809K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 19:10
810K
php81-pecl-mongodb-1.20.1-r0.apk
2024-11-27 17:13
811K
weggli-0.2.4-r1.apk
2024-10-25 19:11
813K
yices2-libs-2.6.4-r0.apk
2024-10-25 19:11
813K
py3-apsw-3.47.2.0-r0.apk
2024-12-16 07:26
814K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 19:09
815K
yeti-1.1-r0.apk
2024-10-25 19:11
816K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
821K
tealdeer-1.7.1-r0.apk
2024-12-14 18:11
824K
planner-lang-0.14.92-r1.apk
2024-12-08 21:43
825K
tk9-9.0.1-r0.apk
2024-12-22 06:14
825K
cimg-3.4.1-r0.apk
2024-10-25 19:08
826K
geonames-0.3.1-r2.apk
2024-10-25 19:09
827K
py3-beartype-0.19.0-r0.apk
2024-10-25 19:10
827K
simp1e-cursors-snow-0_git20211003-r0.apk
2024-10-25 19:10
828K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-10-25 19:10
830K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-10-25 19:10
831K
perl-gtk2-1.24993-r6.apk
2024-10-25 19:10
832K
leptosfmt-0.1.18-r0.apk
2024-10-25 19:09
835K
font-fira-code-6.2-r0.apk
2024-10-25 19:09
836K
advancemame-menu-3.9-r4.apk
2024-10-25 19:08
837K
vixl-7.0.0-r0.apk
2024-10-25 19:11
838K
yaru-theme-23.10.0-r1.apk
2024-12-01 02:28
840K
gutenprint-static-5.3.4-r5.apk
2024-10-25 19:09
841K
mml-1.0.0-r0.apk
2024-10-25 19:10
841K
cherrytree-lang-1.2.0-r3.apk
2024-12-18 12:16
846K
font-katex-0.16.2-r0.apk
2024-10-25 19:09
852K
hstdb-2.1.0-r2.apk
2024-10-25 19:09
852K
ttdl-4.7.0-r0.apk
2024-11-26 19:05
853K
gufw-lang-24.04-r3.apk
2024-11-20 00:45
855K
rust-script-0.35.0-r0.apk
2024-10-27 16:47
855K
py3-pyglet-2.0.20-r0.apk
2024-12-19 22:31
856K
agate-3.3.8-r0.apk
2024-10-25 19:08
856K
simp1e-cursors-breeze-0_git20211003-r0.apk
2024-10-25 19:10
857K
rezolus-2.11.1-r3.apk
2024-10-25 19:10
857K
brogue-1.14.1-r0.apk
2024-11-15 01:10
860K
git-cola-4.10.1-r0.apk
2024-12-15 19:24
860K
cddlib-doc-0.94m-r2.apk
2024-10-25 19:08
864K
php81-pecl-swoole-6.0.0-r0.apk
2024-12-17 05:24
864K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 19:10
865K
ndpi-dev-4.10-r0.apk
2024-10-25 19:10
867K
silc-client-1.1.11-r17.apk
2024-10-25 19:10
868K
pyradio-0.9.3.11-r0.apk
2024-10-25 19:10
871K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 19:09
872K
libretro-theodore-3.1-r0.apk
2024-10-25 19:09
873K
sc-controller-pyc-0.4.8.13-r1.apk
2024-10-25 19:10
873K
mympd-19.0.1-r0.apk
2024-12-15 22:08
873K
sshsrv-1.0-r7.apk
2024-10-25 19:10
873K
keepassxc-browser-1.8.9-r0.apk
2024-10-25 19:09
876K
boinc-lang-7.24.3-r0.apk
2024-10-25 19:08
877K
plib-1.8.5-r3.apk
2024-10-25 19:10
878K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-10-25 19:10
878K
imgdiff-1.0.2-r21.apk
2024-10-25 19:09
880K
cliphist-0.6.1-r0.apk
2024-10-25 19:08
881K
soqt-doc-1.6.3-r0.apk
2024-12-13 20:44
881K
laze-0.1.27-r0.apk
2024-12-09 16:24
883K
py-spy-0.3.14-r3.apk
2024-10-25 19:10
883K
ocaml-x509-0.16.0-r2.apk
2024-10-25 19:10
883K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 19:10
885K
draw-0.1.1-r8.apk
2024-10-25 19:09
886K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 19:09
890K
nixpacks-0.1.7-r1.apk
2024-10-25 19:10
891K
exabgp-pyc-4.2.22-r0.apk
2024-11-30 10:47
892K
rosenpass-0.2.2-r0.apk
2024-10-25 19:10
893K
bochs-2.8-r0.apk
2024-10-25 19:08
895K
py3-mbedtls-2.10.1-r2.apk
2024-10-25 19:10
895K
repowerd-2023.07-r2.apk
2024-10-25 19:10
896K
yazi-cli-0.4.2-r0.apk
2024-12-20 16:26
897K
greetd-regreet-0.1.1-r0.apk
2024-10-25 19:09
898K
lomiri-content-hub-doc-2.0.0-r0.apk
2024-10-25 19:09
901K
fulcrum-1.9.8-r1.apk
2024-10-25 19:09
901K
kodi-pvr-iptvsimple-20.11.0-r0.apk
2024-10-25 19:09
909K
Kodi
ocaml-menhir-dev-20220210-r3.apk
2024-12-08 16:19
912K
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-10-25 19:10
914K
pulseview-0.4.2-r8.apk
2024-10-25 19:10
916K
timeshift-lang-24.06.5-r0.apk
2024-12-05 20:47
917K
barrier-2.4.0-r1.apk
2024-10-25 19:08
917K
ddnrs-0.3.0-r0.apk
2024-10-25 19:08
919K
homebank-lang-5.8.5-r0.apk
2024-10-25 19:09
921K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:08
922K
volatility3-pyc-2.8.0-r0.apk
2024-12-05 17:17
923K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:12
925K
pari-doc-2.17.0-r0.apk
2024-10-25 19:10
925K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 19:10
934K
gloox-dev-1.0.28-r0.apk
2024-10-25 19:09
936K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 19:10
936K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
939K
ocaml-mirage-crypto-0.10.6-r3.apk
2024-10-25 19:10
939K
php81-dev-8.1.31-r0.apk
2024-11-20 02:36
939K
APKINDEX.tar.gz
2024-12-22 07:00
941K
hikari-2.3.3-r6.apk
2024-10-25 19:09
941K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 19:10
944K
dotenv-linter-3.3.0-r1.apk
2024-10-25 19:08
944K
ocaml-tsdl-1.0.0-r0.apk
2024-10-25 19:10
946K
qsstv-9.5.8-r2.apk
2024-10-25 19:10
947K
lomiri-trust-store-2.0.2-r6.apk
2024-10-25 19:09
948K
kannel-dev-1.5.0-r11.apk
2024-10-25 19:09
952K
duf-0.8.1-r21.apk
2024-10-25 19:09
953K
stardict-3.0.6-r6.apk
2024-10-25 19:10
961K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-10-25 19:10
964K
xendmail-0.4.3-r0.apk
2024-10-25 19:11
965K
grpc-health-check-0.1.1-r3.apk
2024-10-25 19:09
965K
ocaml-ctypes-0.20.1-r2.apk
2024-10-25 19:10
966K
yarn-berry-4.5.3-r0.apk
2024-12-04 11:57
970K
xed-doc-3.6.6-r1.apk
2024-12-12 19:33
971K
hwatch-0.3.11-r0.apk
2024-10-25 19:09
971K
postgresql-pg_partman-5.0.0-r0.apk
2024-10-25 19:10
971K
tere-1.6.0-r0.apk
2024-10-25 19:10
971K
lomiri-telephony-service-0.5.3-r5.apk
2024-12-07 19:21
1.0M
wroomd-0.1.0-r0.apk
2024-10-25 19:11
1.0M
ol-2.4-r0.apk
2024-10-25 19:10
1.0M
ocaml-re-dev-1.11.0-r1.apk
2024-10-25 19:10
1.0M
swhkd-1.2.1-r0.apk
2024-10-25 19:10
1.0M
numbat-1.9.0-r0.apk
2024-10-25 19:10
1.0M
gosu-1.17-r5.apk
2024-10-25 19:09
1.0M
boinc-gui-7.24.3-r0.apk
2024-10-25 19:08
1.0M
wlroots0.12-dbg-0.12.0-r1.apk
2024-10-25 19:11
1.0M
kbs2-0.7.2-r3.apk
2024-10-25 19:09
1.0M
tangara-companion-0.4.3-r0.apk
2024-12-17 20:28
1.0M
milkytracker-1.04.00-r2.apk
2024-10-25 19:10
1.0M
flann-dev-1.9.2-r0.apk
2024-10-25 19:09
1.0M
font-comic-neue-doc-2.51-r0.apk
2024-10-25 19:09
1.0M
please-0.5.5-r0.apk
2024-10-25 19:10
1.0M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 19:10
1.0M
fox-1.6.57-r0.apk
2024-10-25 19:09
1.0M
openjdk22-jre-22.0.2_p9-r2.apk
2024-10-25 19:10
1.0M
openjdk23-jre-23.0.1_p11-r0.apk
2024-10-25 19:10
1.0M
komikku-1.66.0-r0.apk
2024-12-21 18:03
1.0M
dune-deps-1.3.0-r2.apk
2024-10-25 19:09
1.0M
ocaml-lwd-dev-0.3-r0.apk
2024-10-25 19:10
1.0M
watchbind-0.2.1-r1.apk
2024-10-25 19:11
1.0M
up-0.4-r24.apk
2024-10-25 19:11
1.0M
horust-0.1.7-r2.apk
2024-10-25 19:09
1.0M
qpdfview-0.5-r1.apk
2024-10-25 19:10
1.0M
elementary-photos-lang-8.0.1-r0.apk
2024-12-04 11:57
1.0M
crun-vm-0.3.0-r0.apk
2024-11-12 11:41
1.0M
snapper-0.12.0-r0.apk
2024-11-20 00:45
1.0M
kodi-inputstream-adaptive-21.4.10-r0.apk
2024-10-25 19:09
1.0M
Kodi
cargo-update-16.0.0-r0.apk
2024-12-14 18:06
1.0M
xonsh-pyc-0.18.2-r0.apk
2024-10-25 19:11
1.0M
lizardfs-master-3.13.0-r14.apk
2024-12-04 11:57
1.0M
pest-language-server-0.3.9-r0.apk
2024-10-25 19:10
1.0M
halp-0.2.0-r0.apk
2024-10-25 19:09
1.0M
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 19:09
1.0M
wireguard-go-0.0.20230223-r7.apk
2024-10-25 19:11
1.0M
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-10-25 19:10
1.0M
brial-1.2.11-r4.apk
2024-10-25 19:08
1.0M
lsd-1.1.5-r0.apk
2024-10-25 19:09
1.0M
kismet-logtools-0.202307.1-r4.apk
2024-11-11 18:08
1.0M
yaru-icon-theme-bark-23.10.0-r1.apk
2024-12-01 02:28
1.0M
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:08
1.0M
eclib-libs-20240408-r1.apk
2024-10-25 19:09
1.0M
sturmreader-3.7.2-r0.apk
2024-10-25 19:10
1.0M
lazymc-0.2.11-r0.apk
2024-10-25 19:09
1.0M
lomiri-system-settings-1.2.0-r0.apk
2024-10-25 19:09
1.0M
quodlibet-4.6.0-r1.apk
2024-10-25 19:10
1.0M
go-mtpfs-1.0.0-r22.apk
2024-10-25 19:09
1.1M
qflipper-gui-1.3.3-r1.apk
2024-10-25 19:10
1.1M
libntl-11.5.1-r4.apk
2024-10-25 19:09
1.1M
yaru-icon-theme-olive-23.10.0-r1.apk
2024-12-01 02:28
1.1M
ginac-1.8.7-r1.apk
2024-10-25 19:09
1.1M
yaru-icon-theme-magenta-23.10.0-r1.apk
2024-12-01 02:28
1.1M
ocaml-ounit-dev-2.2.7-r3.apk
2024-10-25 19:10
1.1M
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
2024-10-25 19:10
1.1M
sing-geosite-20241210004721-r0.apk
2024-12-10 09:39
1.1M
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 19:08
1.1M
yaru-icon-theme-viridian-23.10.0-r1.apk
2024-12-01 02:28
1.1M
keydb-6.3.4-r0.apk
2024-10-25 19:09
1.1M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 19:10
1.1M
yaru-icon-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 02:28
1.1M
gearman-dev-1.1.21-r1.apk
2024-10-25 19:09
1.1M
libmysofa-tools-1.3.2-r0.apk
2024-10-25 19:09
1.1M
tartube-pyc-2.5.0-r1.apk
2024-10-25 19:10
1.1M
fava-1.28-r0.apk
2024-10-25 19:09
1.1M
ocaml-yojson-2.1.2-r0.apk
2024-10-25 19:10
1.1M
limnoria-20240828-r0.apk
2024-10-25 19:09
1.1M
cutechess-1.3.1-r0.apk
2024-10-25 19:08
1.1M
yaru-icon-theme-blue-23.10.0-r1.apk
2024-12-01 02:28
1.1M
py3-aiosmb-pyc-0.4.11-r0.apk
2024-10-25 19:10
1.1M
megazeux-2.93b-r0.apk
2024-10-25 19:10
1.1M
ouch-0.5.1-r0.apk
2024-10-25 19:10
1.1M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 19:09
1.1M
yaru-icon-theme-sage-23.10.0-r1.apk
2024-12-01 02:28
1.1M
rime-ls-0.4.0-r0.apk
2024-10-25 19:10
1.1M
xed-3.6.6-r1.apk
2024-12-12 19:33
1.1M
dovi-tool-2.1.2-r0.apk
2024-10-25 19:09
1.1M
py3-textual-pyc-0.87.1-r0.apk
2024-12-07 20:23
1.1M
yaru-icon-theme-purple-23.10.0-r1.apk
2024-12-01 02:28
1.1M
dewduct-0.2.3-r0.apk
2024-10-25 19:08
1.1M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 19:10
1.1M
yaru-icon-theme-red-23.10.0-r1.apk
2024-12-01 02:28
1.1M
gamescope-3.15.15-r0.apk
2024-12-15 21:48
1.1M
filite-0.3.0-r2.apk
2024-10-25 19:09
1.1M
tailspin-3.0.0-r0.apk
2024-10-25 19:10
1.1M
listenbrainz-mpd-2.3.8-r0.apk
2024-10-25 19:09
1.1M
speedcrunch-0.12-r3.apk
2024-10-25 19:10
1.1M
cargo-machete-0.6.2-r0.apk
2024-10-25 19:08
1.1M
mypaint-pyc-2.0.1-r1.apk
2024-10-25 19:10
1.1M
elementary-photos-8.0.1-r0.apk
2024-12-04 11:57
1.1M
makeclapman-2.4.1-r3.apk
2024-10-25 19:09
1.1M
circuslinux-data-1.0.3-r1.apk
2024-10-25 19:08
1.1M
ocfs2-tools-1.8.7-r4.apk
2024-10-25 19:10
1.1M
ocaml-markup-1.0.3-r3.apk
2024-10-25 19:10
1.1M
firecracker-1.9.0-r0.apk
2024-10-25 19:09
1.1M
flawz-0.3.0-r0.apk
2024-11-03 21:06
1.1M
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 19:10
1.1M
poke-4.2-r0.apk
2024-10-25 19:10
1.1M
ecasound-dev-2.9.3-r3.apk
2024-10-25 19:09
1.2M
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-10-25 19:10
1.2M
libigraph-0.10.15-r0.apk
2024-11-20 00:45
1.2M
orage-lang-4.18.0-r0.apk
2024-10-25 19:10
1.2M
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 19:10
1.2M
repgrep-0.15.0-r0.apk
2024-10-25 19:10
1.2M
sqlmap-pyc-1.8.11-r0.apk
2024-11-09 22:24
1.2M
ktx-4.3.2-r0.apk
2024-10-25 19:09
1.2M
anki-pyc-24.06.2-r0.apk
2024-10-25 19:08
1.2M
py3-nikola-8.3.1-r0.apk
2024-10-25 19:10
1.2M
electron-tasje-0.7.3-r0.apk
2024-10-25 19:09
1.2M
quodlibet-lang-4.6.0-r1.apk
2024-10-25 19:10
1.2M
cln-dev-1.3.7-r0.apk
2024-10-25 19:08
1.2M
draco-tools-1.5.7-r1.apk
2024-10-25 19:09
1.2M
beancount-language-server-1.3.6-r0.apk
2024-11-05 23:37
1.2M
pulsar-client-cpp-3.1.2-r4.apk
2024-10-25 19:10
1.2M
mypaint-lang-2.0.1-r1.apk
2024-10-25 19:10
1.2M
py3-onnxruntime-pyc-1.19.2-r2.apk
2024-11-11 18:08
1.2M
hctl-0.2.6-r0.apk
2024-10-25 19:09
1.2M
prjtrellis-1.4-r2.apk
2024-10-25 19:10
1.2M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 19:09
1.2M
wlroots0.15-dbg-0.15.1-r6.apk
2024-10-25 19:11
1.2M
compiz-lang-0.9.14.2-r7.apk
2024-11-22 15:30
1.2M
emulationstation-2.11.2-r1.apk
2024-10-25 19:09
1.2M
limnoria-pyc-20240828-r0.apk
2024-10-25 19:09
1.2M
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:41
1.2M
dstask-0.26-r9.apk
2024-10-25 19:09
1.2M
libmanticore-columnar-2.2.4-r0.apk
2024-10-25 19:09
1.2M
pegasus-frontend-16_alpha-r0.apk
2024-10-25 19:10
1.2M
ocaml-uucp-dev-14.0.0-r2.apk
2024-10-25 19:10
1.2M
noggin-0.1-r11.apk
2024-10-25 19:10
1.2M
fpc-doc-3.2.2-r4.apk
2024-10-25 19:09
1.2M
yaru-icon-theme-mate-23.10.0-r1.apk
2024-12-01 02:28
1.2M
octoprint-pyc-1.10.1-r1.apk
2024-10-25 19:10
1.2M
htslib-tools-1.19-r0.apk
2024-10-25 19:09
1.2M
baikal-0.10.1-r0.apk
2024-11-10 17:23
1.2M
gpsbabel-1.9.0-r0.apk
2024-10-25 19:09
1.3M
ocaml-lwt-5.7.0-r0.apk
2024-10-25 19:10
1.3M
youtube-tui-0.8.0-r0.apk
2024-10-25 19:11
1.3M
wlroots0.16-dbg-0.16.2-r0.apk
2024-10-28 13:47
1.3M
walk-1.10.0-r0.apk
2024-11-21 00:55
1.3M
ndpi-4.10-r0.apk
2024-10-25 19:10
1.3M
snippets-ls-0.0.4_git20240617-r0.apk
2024-11-22 21:48
1.3M
swig3-3.0.12-r3.apk
2024-10-25 19:10
1.3M
legume-1.4.2-r4.apk
2024-10-25 19:09
1.3M
libppl_c-1.2-r1.apk
2024-10-25 19:09
1.3M
aws-lc-1.41.1-r0.apk
2024-12-22 06:14
1.3M
libabigail-dev-2.3-r0.apk
2024-10-25 19:09
1.3M
kgraphviewer-2.5.0-r0.apk
2024-10-25 19:09
1.3M
ckb-next-0.6.0-r1.apk
2024-10-25 19:08
1.3M
mailtutan-0.3.0-r0.apk
2024-10-25 19:09
1.3M
ijq-1.1.0-r3.apk
2024-10-25 19:09
1.3M
ktx-libs-4.3.2-r0.apk
2024-10-25 19:09
1.3M
primesieve-dev-12.6-r0.apk
2024-12-14 18:12
1.3M
ocaml-extlib-dev-1.7.9-r2.apk
2024-10-25 19:10
1.3M
gotestfmt-2.5.0-r0.apk
2024-12-04 18:17
1.3M
ocaml-cohttp-dev-5.3.1-r0.apk
2024-10-25 19:10
1.3M
mapserver-8.2.2-r2.apk
2024-11-09 18:59
1.3M
ocaml-tcpip-7.1.2-r3.apk
2024-10-25 19:10
1.3M
ocaml-tls-0.15.3-r4.apk
2024-10-25 19:10
1.3M
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-10-25 19:10
1.3M
singular-doc-4.4.0-r0.apk
2024-10-25 19:10
1.3M
lomiri-ui-toolkit-1.3.5100-r1.apk
2024-10-25 19:09
1.3M
dcmtk-3.6.8-r0.apk
2024-10-25 19:08
1.3M
gnu-apl-1.9-r0.apk
2024-10-25 19:09
1.3M
tk9-doc-9.0.1-r0.apk
2024-12-22 06:14
1.3M
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 19:09
1.3M
Kodi
xfe-1.46.2-r0.apk
2024-10-25 19:11
1.3M
rathole-0.5.0-r0.apk
2024-10-25 19:10
1.3M
genact-1.4.2-r0.apk
2024-10-25 19:09
1.3M
sydbox-3.21.3-r0.apk
2024-10-25 19:10
1.3M
lowjs-1.6.2-r2.apk
2024-10-25 19:09
1.3M
qgis-grass-3.34.13-r0.apk
2024-11-30 21:05
1.3M
sblg-doc-0.5.11-r0.apk
2024-10-25 19:10
1.3M
asymptote-2.91-r0.apk
2024-10-25 19:08
1.3M
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 19:10
1.4M
yamlfmt-0.12.1-r1.apk
2024-10-25 19:11
1.4M
mage-1.13.0-r18.apk
2024-10-25 19:09
1.4M
ocaml-uunf-14.0.0-r2.apk
2024-10-25 19:10
1.4M
gnucash-doc-5.9-r1.apk
2024-11-20 00:45
1.4M
lizardfs-client-3.13.0-r14.apk
2024-12-04 11:57
1.4M
ocaml-camomile-1.0.2-r3.apk
2024-10-25 19:10
1.4M
rustscan-2.3.0-r0.apk
2024-10-25 19:10
1.4M
tcl9-doc-9.0.1-r0.apk
2024-12-22 06:14
1.4M
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-10-25 19:10
1.4M
dartaotruntime-3.6.0-r0.apk
2024-12-14 22:33
1.4M
lout-3.42.2-r0.apk
2024-10-25 19:09
1.4M
hfst-3.16.0-r2.apk
2024-10-25 19:09
1.4M
drogon-1.9.4-r1.apk
2024-10-25 19:09
1.4M
freetube-0.21.3-r2.apk
2024-10-31 01:52
1.4M
youki-0.4.1-r0.apk
2024-10-25 19:11
1.4M
wiki-tui-0.8.2-r1.apk
2024-10-25 19:11
1.4M
eboard-1.1.3-r1.apk
2024-10-25 19:09
1.4M
wl-gammarelay-0.1.1-r9.apk
2024-10-25 19:11
1.4M
gimp-plugin-gmic-3.3.5-r1.apk
2024-11-21 23:19
1.4M
nwg-bar-0.1.6-r5.apk
2024-10-25 19:10
1.4M
piping-server-0.18.0-r0.apk
2024-10-25 19:10
1.4M
spike-1.1.0-r0.apk
2024-10-25 19:10
1.4M
mesa-asahi-xatracker-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
1.4M
ocaml-obuild-0.1.11-r0.apk
2024-10-25 19:10
1.4M
mame-lang-0.251-r0.apk
2024-10-25 19:10
1.4M
wlroots0.17-dbg-0.17.4-r1.apk
2024-11-24 07:46
1.4M
pomo-0.8.1-r18.apk
2024-10-25 19:10
1.4M
dart-sass-1.82.0-r1.apk
2024-12-14 22:32
1.4M
keystone-0.9.2-r6.apk
2024-10-25 19:09
1.4M
mailutils-mh-3.17-r0.apk
2024-10-25 19:09
1.4M
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 19:09
1.4M
strfry-0.9.6-r0.apk
2024-10-25 19:10
1.4M
lomiri-download-manager-doc-0.1.3-r3.apk
2024-10-25 19:09
1.4M
raspberrypi-usbboot-20210701-r3.apk
2024-10-25 19:10
1.5M
py3-pysequoia-0.1.20-r3.apk
2024-10-25 19:10
1.5M
oil-0.21.0-r0.apk
2024-10-25 19:10
1.5M
py3-tokenizers-0.21.0-r0.apk
2024-11-27 19:54
1.5M
lutgen-0.11.2-r0.apk
2024-10-25 19:09
1.5M
protoc-gen-js-3.21.4-r1.apk
2024-10-25 19:10
1.5M
draco-static-1.5.7-r1.apk
2024-10-25 19:09
1.5M
mkcert-1.4.4-r14.apk
2024-10-25 19:10
1.5M
chamo-byte-4.0-r0.apk
2024-10-25 19:08
1.5M
nextpnr-gowin-0.7-r0.apk
2024-10-25 19:10
1.5M
openocd-riscv-0_git20230104-r2.apk
2024-10-25 19:10
1.5M
mpv-sponsorblock-2.1.0-r0.apk
2024-10-25 19:10
1.5M
libarb-2.23.0-r2.apk
2024-10-25 19:09
1.5M
drawpile-server-2.2.1-r1.apk
2024-10-25 19:09
1.5M
eww-0.4.0-r1.apk
2024-10-25 19:09
1.5M
ocaml-labltk-dev-8.06.12-r2.apk
2024-10-25 19:10
1.5M
ocp-indent-1.8.2-r2.apk
2024-10-25 19:10
1.5M
gfan-0.6.2-r1.apk
2024-10-25 19:09
1.5M
xsane-0.999-r2.apk
2024-10-25 19:11
1.5M
nicotine-plus-3.3.7-r0.apk
2024-12-16 14:21
1.5M
seastar-22.11.0_git20240815-r4.apk
2024-12-18 09:36
1.5M
freshrss-themes-1.23.1-r1.apk
2024-10-25 19:09
1.5M
perl-minion-10.31-r0.apk
2024-10-25 19:10
1.5M
nwg-dock-0.3.9-r6.apk
2024-10-25 19:10
1.5M
libsemigroups-static-2.7.3-r1.apk
2024-12-14 19:38
1.5M
warzone2100-doc-4.5.5-r1.apk
2024-12-14 19:38
1.5M
tomcat9-doc-9.0.97-r0.apk
2024-11-20 00:46
1.5M
freshrss-1.23.1-r1.apk
2024-10-25 19:09
1.5M
ffsend-0.2.76-r4.apk
2024-10-25 19:09
1.5M
boinc-7.24.3-r0.apk
2024-10-25 19:08
1.5M
ocaml-uri-4.2.0-r2.apk
2024-10-25 19:10
1.5M
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-10-25 19:10
1.5M
pcl-dev-1.14.0-r2.apk
2024-10-25 19:10
1.5M
kjv-0_git20221103-r0.apk
2024-10-25 19:09
1.5M
siril-lang-1.2.5-r0.apk
2024-11-22 09:37
1.5M
fheroes2-1.1.4-r0.apk
2024-12-04 11:57
1.5M
ocaml-sedlex-dev-3.2-r0.apk
2024-10-25 19:10
1.5M
py3-pyglet-pyc-2.0.20-r0.apk
2024-12-19 22:31
1.6M
ocaml-x509-dev-0.16.0-r2.apk
2024-10-25 19:10
1.6M
gnu-apl-doc-1.9-r0.apk
2024-10-25 19:09
1.6M
firecracker-doc-1.9.0-r0.apk
2024-10-25 19:09
1.6M
mint-y-theme-gtk4-2.1.1-r0.apk
2024-10-25 19:10
1.6M
xmpp-dns-0.2.4-r19.apk
2024-10-25 19:11
1.6M
voikko-fi-2.5-r0.apk
2024-10-25 19:11
1.6M
igrep-1.2.0-r0.apk
2024-10-25 19:09
1.6M
amule-lang-2.3.3-r13.apk
2024-10-25 19:08
1.6M
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 19:08
1.6M
zydis-doc-4.1.0-r0.apk
2024-10-25 19:11
1.6M
antibody-6.1.1-r23.apk
2024-10-25 19:08
1.6M
antimicrox-3.4.0-r0.apk
2024-10-25 19:08
1.6M
runst-0.1.7-r0.apk
2024-10-25 19:10
1.6M
pipeline-2.1.0-r0.apk
2024-12-07 03:49
1.6M
turn-rs-3.2.0-r0.apk
2024-12-01 00:41
1.6M
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 19:10
1.6M
aqemu-0.9.4-r3.apk
2024-10-25 19:08
1.6M
yubikey-agent-0.1.6-r7.apk
2024-10-25 19:11
1.6M
chim-1.1.2-r1.apk
2024-10-25 19:08
1.6M
openocd-git-0_git20240113-r1.apk
2024-10-25 19:10
1.6M
seed7-doc-05.20240322-r0.apk
2024-10-25 19:10
1.6M
sing-geoip-20241112-r0.apk
2024-12-10 09:39
1.6M
mir-2.15.0-r4.apk
2024-10-25 19:10
1.6M
apmpkg-1.5.1-r3.apk
2024-10-25 19:08
1.6M
qgis-server-3.34.13-r0.apk
2024-11-30 21:05
1.6M
keystone-python-0.9.2-r6.apk
2024-10-25 19:09
1.6M
libretro-flycast-0_git20220406-r1.apk
2024-10-25 19:09
1.6M
flann-1.9.2-r0.apk
2024-10-25 19:09
1.6M
empede-0.2.3-r0.apk
2024-10-25 19:09
1.6M
hfst-libs-3.16.0-r2.apk
2024-10-25 19:09
1.6M
libntl-static-11.5.1-r4.apk
2024-10-25 19:09
1.6M
watershot-0.2.0-r0.apk
2024-10-25 19:11
1.6M
dcmtk-dev-3.6.8-r0.apk
2024-10-25 19:08
1.6M
brial-dev-1.2.11-r4.apk
2024-10-25 19:08
1.7M
nil-2023.08.09-r0.apk
2024-10-25 19:10
1.7M
fheroes2-lang-1.1.4-r0.apk
2024-12-04 11:57
1.7M
php81-embed-8.1.31-r0.apk
2024-11-20 02:36
1.7M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-10-25 19:10
1.7M
php81-apache2-8.1.31-r0.apk
2024-11-20 02:36
1.7M
ocaml-yojson-dev-2.1.2-r0.apk
2024-10-25 19:10
1.7M
php81-cgi-8.1.31-r0.apk
2024-11-20 02:36
1.7M
goxel-0.15.1-r0.apk
2024-10-25 19:09
1.7M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 19:10
1.7M
pure-data-0.54.1-r0.apk
2024-10-25 19:10
1.7M
php81-litespeed-8.1.31-r0.apk
2024-11-20 02:36
1.7M
fox-dev-1.6.57-r0.apk
2024-10-25 19:09
1.7M
openocd-esp32-0_git20230921-r5.apk
2024-10-25 19:10
1.7M
hurl-6.0.0-r0.apk
2024-12-07 20:19
1.7M
materia-kde-plasma-20220823-r0.apk
2024-10-25 19:10
1.7M
php81-8.1.31-r0.apk
2024-11-20 02:36
1.7M
psi-plus-plugins-1.5.1965-r0.apk
2024-10-25 19:10
1.7M
fplll-strategies-5.5.0-r0.apk
2024-11-20 00:45
1.7M
simgear-2020.3.19-r1.apk
2024-10-25 19:10
1.7M
chocolate-doom-3.1.0-r0.apk
2024-10-25 19:08
1.7M
glslviewer-3.2.4-r0.apk
2024-10-25 19:09
1.7M
mdbook-mermaid-0.13.0-r0.apk
2024-10-25 19:10
1.7M
ats2-0.4.2-r0.apk
2024-10-25 19:08
1.7M
gmic-qt-3.3.5-r1.apk
2024-11-21 23:19
1.7M
moccasin-0.1.3-r0.apk
2024-10-25 19:10
1.7M
php81-phpdbg-8.1.31-r0.apk
2024-11-20 02:36
1.7M
daktilo-0.6.0-r0.apk
2024-10-25 19:08
1.7M
libmedc-python-4.1.1-r4.apk
2024-10-25 19:09
1.7M
php81-fpm-8.1.31-r0.apk
2024-11-20 02:36
1.7M
protoc-gen-go-1.35.2-r0.apk
2024-12-14 18:09
1.7M
grcov-0.8.20-r0.apk
2024-11-11 10:02
1.7M
ocaml-menhir-20220210-r3.apk
2024-12-08 16:19
1.7M
asahi-audio-2.5-r0.apk
2024-12-01 09:04
1.8M
sydbox-oci-3.21.3-r0.apk
2024-10-25 19:10
1.8M
rook-0.2.0-r0.apk
2024-10-25 19:10
1.8M
percona-toolkit-3.5.4-r1.apk
2024-10-25 19:10
1.8M
codeberg-cli-0.4.6-r0.apk
2024-11-14 13:09
1.8M
spotify-tui-0.25.0-r2.apk
2024-10-25 19:10
1.8M
eludris-0.3.3-r1.apk
2024-10-25 19:09
1.8M
crispy-doom-7.0-r0.apk
2024-10-25 19:08
1.8M
sympow-2.023.7-r2.apk
2024-10-25 19:10
1.8M
c2rust-0.18.0-r1.apk
2024-10-25 19:08
1.8M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 19:10
1.8M
ergo-ldap-0.0.1-r12.apk
2024-10-25 19:09
1.8M
srb2-2.2.13-r0.apk
2024-10-25 19:10
1.8M
quodlibet-pyc-4.6.0-r1.apk
2024-10-25 19:10
1.8M
satellite-1.0.0-r23.apk
2024-10-25 19:10
1.8M
tcl9-9.0.1-r0.apk
2024-12-22 06:14
1.8M
py3-pivy-0.6.9-r2.apk
2024-11-24 07:46
1.8M
mint-y-theme-gtk3-2.1.1-r0.apk
2024-10-25 19:10
1.8M
ocaml-uuseg-tools-14.0.0-r2.apk
2024-10-25 19:10
1.8M
tui-journal-0.10.0-r0.apk
2024-10-25 19:11
1.8M
stgit-2.4.7-r1.apk
2024-10-25 19:10
1.8M
tintin-2.02.31-r0.apk
2024-10-25 19:11
1.8M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 19:09
1.9M
ocaml-iri-1.0.0-r0.apk
2024-10-25 19:10
1.9M
edward-1.1.0-r0.apk
2024-10-25 19:09
1.9M
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-10-25 19:10
1.9M
gutenprint-lang-5.3.4-r5.apk
2024-10-25 19:09
1.9M
efl-dev-1.27.0-r1.apk
2024-10-25 19:09
1.9M
pure-data-doc-0.54.1-r0.apk
2024-10-25 19:10
1.9M
homebank-5.8.5-r0.apk
2024-10-25 19:09
1.9M
hitide-0.15.0-r0.apk
2024-10-25 19:09
1.9M
sc-controller-0.4.8.13-r1.apk
2024-10-25 19:10
1.9M
netstandard21-targeting-pack-6.0.136-r1.apk
2024-11-25 04:52
1.9M
decoder-0.6.1-r0.apk
2024-10-25 19:08
1.9M
cadence-0.9.2-r0.apk
2024-10-25 19:08
1.9M
android-apkeep-0.17.0-r0.apk
2024-10-25 19:08
1.9M
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 19:10
1.9M
cargo-generate-0.22.0-r1.apk
2024-11-22 18:28
1.9M
so-0.4.10-r0.apk
2024-10-25 19:10
1.9M
prettier-3.4.2-r0.apk
2024-12-10 07:55
1.9M
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 19:11
1.9M
authenticator-rs-0.7.5-r0.apk
2024-10-25 19:08
1.9M
ocaml-atd-dev-2.15.0-r0.apk
2024-10-25 19:10
1.9M
calibre-doc-7.23.0-r0.apk
2024-12-21 03:30
1.9M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 19:10
1.9M
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 19:10
1.9M
certstrap-1.3.0-r18.apk
2024-10-25 19:08
1.9M
gmsh-doc-4.12.2-r2.apk
2024-10-25 19:09
1.9M
goreman-0.3.15-r8.apk
2024-10-25 19:09
1.9M
openfpgaloader-0.11.0-r0.apk
2024-10-25 19:10
1.9M
cocogitto-6.2.0-r1.apk
2024-12-12 21:08
2.0M
bootloose-0.7.1-r6.apk
2024-10-25 19:08
2.0M
teapot-tools-0.4.2-r2.apk
2024-10-25 19:10
2.0M
primecount-dev-7.14-r0.apk
2024-10-25 19:10
2.0M
aspnetcore6-targeting-pack-6.0.36-r1.apk
2024-11-25 04:51
2.0M
jackdaw-0.3.1-r1.apk
2024-10-25 19:09
2.0M
solarus-engine-1.7.0-r0.apk
2024-10-25 19:10
2.0M
lomiri-location-service-3.1.0-r7.apk
2024-10-25 19:09
2.0M
fox-doc-1.6.57-r0.apk
2024-10-25 19:09
2.0M
libarb-static-2.23.0-r2.apk
2024-10-25 19:09
2.0M
avahi2dns-0.0.1_git20240102-r2.apk
2024-10-25 19:08
2.0M
font-stix-otf-2.13-r0.apk
2024-10-25 19:09
2.0M
ircdog-0.5.4-r0.apk
2024-10-25 19:09
2.0M
secsipidx-libs-1.3.2-r7.apk
2024-10-25 19:10
2.0M
wazero-1.6.0-r5.apk
2024-10-25 19:11
2.0M
resources-1.6.0-r1.apk
2024-10-25 19:10
2.1M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:08
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 19:09
2.1M
xed-lang-3.6.6-r1.apk
2024-12-12 19:33
2.1M
rizin-cutter-2.3.2-r2.apk
2024-10-25 19:10
2.1M
convert2json-1.1.2-r0.apk
2024-11-30 11:15
2.1M
mesa-asahi-vulkan-swrast-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
2.1M
flutter-developer-3.27.0-r0.apk
2024-12-14 22:33
2.1M
trippy-0.12.0-r0.apk
2024-12-12 19:33
2.1M
reaction-1.4.1-r1.apk
2024-10-25 19:10
2.1M
netsurf-3.11-r0.apk
2024-10-25 19:10
2.1M
crosstool-ng-1.26.0_git20240914-r0.apk
2024-10-25 19:08
2.1M
piler-1.4.6-r0.apk
2024-10-25 19:10
2.1M
rss-email-0.5.0-r0.apk
2024-10-25 19:10
2.1M
docker-volume-local-persist-1.3.0-r28.apk
2024-10-25 19:08
2.1M
mesonlsp-4.3.7-r0.apk
2024-10-25 19:10
2.1M
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 19:10
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 19:09
2.1M
gotestsum-1.12.0-r2.apk
2024-10-25 19:09
2.1M
bird3-dbg-3.0.0-r0.apk
2024-12-20 17:10
2.1M
ocaml-markup-dev-1.0.3-r3.apk
2024-10-25 19:10
2.1M
gron-0.7.1-r19.apk
2024-10-25 19:09
2.1M
hashcat-doc-6.2.6-r0.apk
2024-10-25 19:09
2.1M
cargo-expand-1.0.94-r0.apk
2024-11-05 13:11
2.2M
vile-9.8z-r0.apk
2024-10-25 19:11
2.2M
hpnssh-18.4.1-r0.apk
2024-10-25 19:09
2.2M
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk
2024-10-25 19:09
2.2M
ocaml-omake-0.10.6-r0.apk
2024-10-25 19:10
2.2M
yices2-2.6.4-r0.apk
2024-10-25 19:11
2.2M
aports-glmr-0.2-r23.apk
2024-10-25 19:08
2.2M
aprilsh-server-0.7.12-r0.apk
2024-10-25 19:08
2.2M
vice-doc-3.8-r0.apk
2024-10-25 19:11
2.2M
pitivi-2023.03-r1.apk
2024-10-25 19:10
2.2M
watchmate-0.5.2-r0.apk
2024-10-25 19:11
2.2M
steamguard-cli-0.9.6-r0.apk
2024-10-25 19:10
2.2M
tty-proxy-0.0.2-r23.apk
2024-10-25 19:11
2.2M
zulip-desktop-5.11.1-r2.apk
2024-10-31 01:52
2.2M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 19:10
2.2M
musikcube-3.0.4-r0.apk
2024-10-25 19:10
2.2M
bitritter-0.1.1-r0.apk
2024-10-25 19:08
2.2M
tangctl-0_git20220412-r19.apk
2024-10-25 19:10
2.2M
font-monaspace-argon-1.101-r0.apk
2024-10-25 19:09
2.2M
mailsec-check-0_git20210729-r21.apk
2024-10-25 19:09
2.2M
brltty-6.7-r0.apk
2024-10-25 19:08
2.2M
guetzli-dev-0_git20191025-r1.apk
2024-10-25 19:09
2.2M
monetdb-11.33.11-r4.apk
2024-10-25 19:10
2.3M
tmpl-0.4.0-r6.apk
2024-10-25 19:11
2.3M
k3sup-0.13.6-r0.apk
2024-10-25 19:09
2.3M
cloud-hypervisor-42.0-r0.apk
2024-12-14 17:27
2.3M
jami-qt-lang-20230925-r0.apk
2024-10-25 19:09
2.3M
libwasmtime-28.0.0-r0.apk
2024-12-21 22:51
2.3M
twinkle-1.10.3-r2.apk
2024-10-25 19:11
2.3M
secsipidx-1.3.2-r7.apk
2024-10-25 19:10
2.3M
wayfire-0.8.1-r1.apk
2024-10-25 19:11
2.3M
libretro-ppsspp-0_git20210516-r14.apk
2024-10-25 19:09
2.3M
rcon-cli-1.6.2-r6.apk
2024-10-25 19:10
2.3M
qownnotes-23.6.6-r0.apk
2024-10-25 19:10
2.3M
drawpile-base-2.2.1-r1.apk
2024-10-25 19:09
2.3M
sos-0.8-r26.apk
2024-10-25 19:10
2.3M
htmldoc-1.9.20-r0.apk
2024-12-10 15:42
2.3M
sipexer-1.1.0-r8.apk
2024-10-25 19:10
2.3M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 19:09
2.3M
magic-wormhole-rs-0.7.4-r0.apk
2024-11-29 02:39
2.3M
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 19:10
2.4M
dmarc-cat-0.15.0-r0.apk
2024-10-25 19:08
2.4M
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 19:09
2.4M
ocaml-tls-dev-0.15.3-r4.apk
2024-10-25 19:10
2.4M
ocaml-dns-6.2.2-r3.apk
2024-10-25 19:10
2.4M
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 19:10
2.4M
apostrophe-revealjs-5.1.0-r0.apk
2024-10-25 19:08
2.4M
dlib-dev-19.24.4-r0.apk
2024-10-25 19:08
2.4M
normaliz-libs-3.10.4-r0.apk
2024-10-30 13:54
2.4M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-10-25 19:10
2.4M
pgcat-0.2.1-r0.apk
2024-10-25 19:10
2.4M
consul-replicate-0.4.0-r26.apk
2024-10-25 19:08
2.4M
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 19:10
2.4M
wasm-tools-1.215.0-r0.apk
2024-10-25 19:11
2.5M
py3-seqdiag-3.0.0-r5.apk
2024-10-25 19:10
2.5M
hub-2.14.2-r26.apk
2024-10-25 19:09
2.5M
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 19:10
2.5M
msh-2.5.0-r7.apk
2024-10-25 19:10
2.5M
ntpd-rs-1.4.0-r0.apk
2024-12-14 19:38
2.5M
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 19:10
2.5M
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk
2024-10-30 05:03
2.5M
tonutils-reverse-proxy-0.3.3-r0.apk
2024-10-25 19:11
2.5M
py3-blockdiag-tests-3.0.0-r5.apk
2024-10-25 19:10
2.5M
recyclarr-cli-7.4.0-r0.apk
2024-11-25 14:13
2.5M
eclipse-ecj-4.33-r0.apk
2024-11-22 21:43
2.5M
copyq-9.1.0-r1.apk
2024-12-12 17:44
2.5M
cherrytree-1.2.0-r3.apk
2024-12-18 12:16
2.5M
mame-tools-0.251-r0.apk
2024-10-25 19:10
2.5M
gtkwave-3.3.120-r0.apk
2024-10-25 19:09
2.6M
klevernotes-1.1.0-r0.apk
2024-10-25 19:09
2.6M
nauty-libs-2.8.9-r0.apk
2024-10-25 19:10
2.6M
warzone2100-lang-4.5.5-r1.apk
2024-12-14 19:38
2.6M
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-10-25 19:10
2.6M
gitoxide-0.14.0-r1.apk
2024-10-25 19:09
2.6M
pyonji-0.1.0-r0.apk
2024-11-21 03:03
2.6M
butane-0.22.0-r0.apk
2024-10-25 19:08
2.6M
rtl8812au-src-5.6.4.2_git20231103-r0.apk
2024-10-25 19:10
2.6M
innernet-1.6.1-r0.apk
2024-10-25 19:09
2.6M
recoll-1.37.5-r1.apk
2024-10-25 19:10
2.7M
rizin-0.6.3-r1.apk
2024-10-25 19:10
2.7M
siril-1.2.5-r0.apk
2024-11-22 09:37
2.7M
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 19:09
2.7M
tartube-2.5.0-r1.apk
2024-10-25 19:10
2.7M
font-monaspace-radon-1.101-r0.apk
2024-10-25 19:09
2.7M
gliderlabs-sigil-0.11.0-r0.apk
2024-10-25 19:09
2.8M
netsurf-framebuffer-3.11-r0.apk
2024-10-25 19:10
2.8M
odin-0.2024.12-r0.apk
2024-12-07 20:25
2.8M
mdcat-2.7.1-r0.apk
2024-12-14 18:04
2.8M
mcman-0.4.5-r0.apk
2024-10-25 19:10
2.8M
vym-2.9.26-r0.apk
2024-10-25 19:11
2.8M
linphone-libs-5.3.38-r0.apk
2024-10-25 19:09
2.8M
vidcutter-6.0.5.3-r0.apk
2024-10-25 19:11
2.8M
ripasso-cursive-0.6.5-r0.apk
2024-10-25 19:10
2.8M
asymptote-doc-2.91-r0.apk
2024-10-25 19:08
2.8M
aprilsh-client-0.7.12-r0.apk
2024-10-25 19:08
2.8M
coin-4.0.3-r0.apk
2024-12-13 20:39
2.9M
pcl-1.14.0-r2.apk
2024-10-25 19:10
2.9M
ocaml-labltk-8.06.12-r2.apk
2024-10-25 19:10
2.9M
fceux-2.6.6-r2.apk
2024-10-25 19:09
2.9M
kubeconform-0.6.6-r2.apk
2024-10-25 19:09
2.9M
jsonnet-bundler-0.6.0-r0.apk
2024-10-25 19:09
2.9M
dstask-import-0.26-r9.apk
2024-10-25 19:09
2.9M
dockerize-0.9.0-r0.apk
2024-12-20 05:53
2.9M
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 19:10
3.0M
suru-icon-theme-20.05.1_git20221222-r1.apk
2024-10-25 19:10
3.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
khinsider-2.0.7-r15.apk
2024-10-25 19:09
3.0M
pwru-1.0.7-r0.apk
2024-10-25 19:10
3.0M
drawpile-client-2.2.1-r1.apk
2024-10-25 19:09
3.0M
maildir2rss-0.0.7-r0.apk
2024-10-25 19:09
3.0M
gobuster-3.6.0-r7.apk
2024-10-25 19:09
3.0M
kapow-0.7.1-r8.apk
2024-10-25 19:09
3.0M
apx-2.4.4-r1.apk
2024-10-28 13:15
3.1M
firectl-0.2.0-r14.apk
2024-10-25 19:09
3.1M
octoprint-1.10.1-r1.apk
2024-10-25 19:10
3.1M
librespot-0.6.0-r0.apk
2024-11-02 01:23
3.1M
mailutils-dev-3.17-r0.apk
2024-10-25 19:09
3.1M
simh-3.11.1-r1.apk
2024-10-25 19:10
3.1M
sigil-lang-2.1.0-r0.apk
2024-10-25 19:10
3.1M
gomp-1.0.0-r7.apk
2024-10-25 19:09
3.1M
dotnet6-targeting-pack-6.0.36-r1.apk
2024-11-25 04:52
3.1M
libretro-dolphin-0_git20220407-r2.apk
2024-10-25 19:09
3.1M
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-10-25 19:10
3.1M
symengine-0.12.0-r0.apk
2024-10-25 19:10
3.1M
hilbish-2.3.3-r0.apk
2024-11-29 06:51
3.1M
ocaml-gitlab-0.1.8-r0.apk
2024-10-25 19:10
3.1M
alpine-lift-0.2.0-r18.apk
2024-10-25 19:08
3.1M
Alpine Linux
prometheus-unbound-exporter-0.4.6-r0.apk
2024-10-25 19:10
3.2M
certigo-1.16.0-r18.apk
2024-10-25 19:08
3.2M
ocaml-lwt-dev-5.7.0-r0.apk
2024-10-25 19:10
3.2M
drawing-1.0.2-r0.apk
2024-10-25 19:09
3.2M
ruuvi-prometheus-0.1.7-r5.apk
2024-10-25 19:10
3.2M
tty-share-2.4.0-r13.apk
2024-10-25 19:11
3.2M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 19:09
3.3M
spotify-player-0.18.1-r0.apk
2024-10-25 19:10
3.3M
kanidm-clients-1.4.5-r0.apk
2024-12-21 18:32
3.3M
prometheus-ceph-exporter-4.2.4-r1.apk
2024-10-25 19:10
3.3M
Ceph
mesa-asahi-rusticl-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
3.3M
drawpile-tools-2.2.1-r1.apk
2024-10-25 19:09
3.3M
svls-0.2.12-r0.apk
2024-10-25 19:10
3.3M
drupal7-7.103-r0.apk
2024-12-04 17:28
3.3M
qucs-s-1.1.0-r1.apk
2024-10-25 19:10
3.3M
qgis-dev-3.34.13-r0.apk
2024-11-30 21:05
3.3M
nsh-dbg-0.4.2-r1.apk
2024-10-25 19:10
3.3M
speedtest_exporter-0.3.2-r10.apk
2024-10-25 19:10
3.3M
lotide-0.15.0-r0.apk
2024-10-25 19:09
3.3M
comics-downloader-0.33.8-r5.apk
2024-10-25 19:08
3.3M
openttd-opengfx-7.1-r0.apk
2024-10-25 19:10
3.3M
ghq-1.7.1-r0.apk
2024-12-07 20:23
3.4M
autorestic-1.8.3-r0.apk
2024-10-25 19:08
3.4M
vym-doc-2.9.26-r0.apk
2024-10-25 19:11
3.4M
stardict-help-3.0.6-r6.apk
2024-10-25 19:10
3.4M
dsnet-0.7.3-r5.apk
2024-10-25 19:09
3.4M
pathvector-6.3.2-r8.apk
2024-10-25 19:10
3.4M
frescobaldi-3.3.0-r1.apk
2024-10-25 19:09
3.5M
openttd-lang-14.1-r0.apk
2024-10-25 19:10
3.5M
xemu-0.7.131-r1.apk
2024-10-25 19:11
3.5M
netscanner-0.5.1-r1.apk
2024-10-25 19:10
3.5M
nauty-dev-2.8.9-r0.apk
2024-10-25 19:10
3.5M
invidtui-0.4.6-r0.apk
2024-10-25 19:09
3.6M
exercism-3.2.0-r7.apk
2024-10-25 19:09
3.6M
prometheus-rethinkdb-exporter-1.0.1-r23.apk
2024-10-25 19:10
3.6M
tootik-0.13.0-r0.apk
2024-11-21 04:59
3.6M
dive-0.12.0-r0.apk
2024-10-25 19:08
3.6M
advancemame-mess-3.9-r4.apk
2024-10-25 19:08
3.6M
mesa-asahi-osmesa-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
3.6M
libsymmetrica-3.0.1-r2.apk
2024-10-25 19:09
3.7M
ocaml-containers-3.7-r2.apk
2024-10-25 19:10
3.7M
ticker-4.7.1-r0.apk
2024-12-14 18:09
3.7M
wgcf-2.2.23-r0.apk
2024-12-14 16:41
3.7M
gotify-cli-2.3.2-r0.apk
2024-10-25 19:09
3.7M
ocaml-omod-bin-0.0.3-r3.apk
2024-10-25 19:10
3.7M
lomiri-gallery-app-3.0.2-r0.apk
2024-10-25 19:09
3.7M
typos-1.23.2-r0.apk
2024-10-25 19:11
3.7M
skia-sharp-0_git20230912-r0.apk
2024-10-25 19:10
3.7M
font-teluguvijayam-20190525-r2.apk
2024-10-25 19:09
3.7M
openfire-doc-4.8.1-r1.apk
2024-12-04 11:57
3.8M
yarr-2.4-r8.apk
2024-10-25 19:11
3.8M
phpactor-2024.06.30.0-r0.apk
2024-10-25 19:10
3.8M
cortex-tenant-1.15.2-r1.apk
2024-12-19 09:49
3.8M
geodns-logs-3.3.0-r8.apk
2024-10-25 19:09
3.8M
lefthook-1.8.5-r0.apk
2024-12-05 12:37
3.8M
manifest-tool-2.1.7-r0.apk
2024-10-25 19:10
3.8M
sdl3-dbg-3.1.6-r0.apk
2024-11-02 20:29
3.8M
wabt-1.0.36-r0.apk
2024-10-25 19:11
3.8M
porla-0.41.0-r1.apk
2024-12-05 22:08
3.9M
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 19:10
3.9M
mypaint-2.0.1-r1.apk
2024-10-25 19:10
3.9M
otrs-dev-6.0.48-r2.apk
2024-10-25 19:10
3.9M
mqtt2prometheus-0.1.7-r11.apk
2024-10-25 19:10
3.9M
dnote-0.15.1-r0.apk
2024-10-25 19:08
3.9M
prometheus-ipmi-exporter-1.8.0-r0.apk
2024-10-25 19:10
3.9M
niri-0.1.10.1-r2.apk
2024-11-24 22:02
3.9M
acmetool-0.2.2-r8.apk
2024-10-25 19:08
3.9M
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 19:10
3.9M
mtg-2.1.7-r16.apk
2024-10-25 19:10
3.9M
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 19:10
3.9M
lomiri-0.3.0-r0.apk
2024-10-25 19:09
4.0M
horizon-dbg-0.9.6-r9.apk
2024-10-25 19:09
4.0M
kubectl-krew-0.4.4-r7.apk
2024-10-25 19:09
4.0M
jsonnet-language-server-0.14.1-r0.apk
2024-10-25 19:09
4.0M
ytt-0.47.0-r5.apk
2024-10-25 19:11
4.0M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 19:10
4.0M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 19:10
4.0M
prometheus-smokeping-prober-0.7.1-r7.apk
2024-10-25 19:10
4.0M
pari-libs-2.17.0-r0.apk
2024-10-25 19:10
4.0M
sigil-2.1.0-r0.apk
2024-10-25 19:10
4.0M
reg-0.16.1-r23.apk
2024-10-25 19:10
4.0M
java-jtharness-6.0_p12-r0.apk
2024-10-25 19:09
4.0M
py3-drf-yasg-1.21.7-r2.apk
2024-10-25 19:10
4.1M
prometheus-opnsense-exporter-0.0.5-r0.apk
2024-10-25 19:10
4.1M
fq-0.13.0-r0.apk
2024-10-25 19:09
4.1M
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 19:10
4.1M
prometheus-bind-exporter-0.7.0-r6.apk
2024-10-25 19:10
4.1M
cura-lang-5.2.2-r1.apk
2024-10-25 19:08
4.1M
mstflint-4.26.0.1-r0.apk
2024-10-25 19:10
4.1M
muse-doc-4.2.1-r1.apk
2024-10-25 19:10
4.1M
gx-0.14.3-r25.apk
2024-10-25 19:09
4.1M
i3status-rust-0.33.2-r0.apk
2024-11-11 03:20
4.1M
tanka-0.31.0-r0.apk
2024-12-17 05:14
4.1M
rizin-libs-0.6.3-r1.apk
2024-10-25 19:10
4.2M
prometheus-smartctl-exporter-0.13.0-r0.apk
2024-12-20 16:26
4.2M
mobroute-0.8.4-r0.apk
2024-11-20 00:45
4.2M
yazi-0.4.2-r0.apk
2024-12-20 16:26
4.2M
ocaml-dns-dev-6.2.2-r3.apk
2024-10-25 19:10
4.2M
mattermost-desktop-5.10.2-r0.apk
2024-12-17 20:18
4.2M
geodns-3.3.0-r8.apk
2024-10-25 19:09
4.2M
pdfcpu-0.9.1-r0.apk
2024-10-27 17:45
4.2M
chamo-dev-4.0-r0.apk
2024-10-25 19:08
4.2M
fathom-1.3.1-r8.apk
2024-10-25 19:09
4.2M
amule-2.3.3-r13.apk
2024-10-25 19:08
4.2M
libwasmtime-static-28.0.0-r0.apk
2024-12-21 22:51
4.3M
envconsul-0.13.2-r8.apk
2024-10-25 19:09
4.3M
meli-0.8.10-r0.apk
2024-12-16 10:09
4.3M
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
2024-10-25 19:10
4.3M
tfupdate-0.8.2-r1.apk
2024-10-25 19:11
4.3M
pspp-dbg-2.0.1-r0.apk
2024-10-25 19:10
4.3M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
2024-10-25 19:10
4.3M
openapi-tui-0.9.4-r1.apk
2024-10-25 19:10
4.3M
zot-exporter-2.1.1-r0.apk
2024-12-15 19:24
4.3M
ocaml-uri-dev-4.2.0-r2.apk
2024-10-25 19:10
4.3M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
2024-10-25 19:10
4.3M
dhewm3-1.5.2-r0.apk
2024-10-25 19:08
4.3M
ssh-cert-authority-2.0.0-r21.apk
2024-10-25 19:10
4.4M
gx-go-1.9.0-r27.apk
2024-10-25 19:09
4.4M
projectm-presets-3.1.12-r2.apk
2024-10-25 19:10
4.4M
arc-icon-theme-20161122-r0.apk
2024-10-25 19:08
4.4M
gmic-libs-3.3.5-r1.apk
2024-11-21 23:19
4.4M
dotnet6-apphost-pack-6.0.36-r1.apk
2024-11-25 04:51
4.4M
php81-pecl-grpc-1.68.0-r0.apk
2024-11-20 00:45
4.4M
conntracct-0.2.7-r26.apk
2024-10-25 19:08
4.4M
qownnotes-lang-23.6.6-r0.apk
2024-10-25 19:10
4.4M
nzbget-24.3-r0.apk
2024-10-25 19:10
4.4M
php81-pecl-couchbase-4.2.5-r0.apk
2024-11-24 17:25
4.4M
flare-engine-1.14-r0.apk
2024-10-25 19:09
4.4M
yoshimi-doc-2.3.2-r0.apk
2024-10-25 19:11
4.5M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
2024-10-25 19:10
4.5M
mir-dev-2.15.0-r4.apk
2024-10-25 19:10
4.5M
olab-0.1.8-r0.apk
2024-10-25 19:10
4.5M
tauri-cli-1.6.2-r0.apk
2024-11-01 00:45
4.5M
s5cmd-2.2.2-r5.apk
2024-10-25 19:10
4.5M
manticore-converter-6.3.8-r0.apk
2024-12-04 11:57
4.5M
ocaml-gettext-0.4.2-r3.apk
2024-10-25 19:10
4.5M
atac-0.18.1-r0.apk
2024-11-25 21:57
4.6M
geonames-lang-0.3.1-r2.apk
2024-10-25 19:09
4.6M
java-jtreg-7.5_p1-r0.apk
2024-10-27 12:04
4.6M
flutter-glfw-3.27.0-r0.apk
2024-12-14 22:33
4.6M
flutter-gtk-3.27.0-r0.apk
2024-12-14 22:33
4.6M
templ-0.2.793-r0.apk
2024-12-14 18:06
4.6M
spacectl-1.0.0-r1.apk
2024-10-25 19:10
4.7M
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 19:09
4.7M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 19:10
4.7M
android-translation-layer-0_git20241103-r0.apk
2024-11-06 10:16
4.7M
ocaml-base-0.16.3-r0.apk
2024-10-25 19:10
4.7M
qbittorrent-cli-2.0.0-r6.apk
2024-10-25 19:10
4.7M
autoscan-1.4.0-r6.apk
2024-10-25 19:08
4.7M
swi-prolog-9.2.9-r0.apk
2024-12-21 10:08
4.8M
scalingo-1.30.0-r5.apk
2024-10-25 19:10
4.8M
secsipidx-dev-1.3.2-r7.apk
2024-10-25 19:10
4.8M
speedtest-go-1.1.5-r10.apk
2024-10-25 19:10
4.9M
kubectl-oidc_login-1.31.0-r0.apk
2024-12-14 18:06
4.9M
abc-0_git20240102-r0.apk
2024-10-25 19:08
4.9M
py3-nwdiag-3.0.0-r3.apk
2024-10-25 19:10
4.9M
biome-1.9.4-r1.apk
2024-10-27 17:45
4.9M
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
elementary-icon-theme-8.0.0-r0.apk
2024-10-25 19:09
5.0M
ocaml-ppx_blob-0.8.0-r0.apk
2024-10-25 19:10
5.1M
qtox-1.17.6-r6.apk
2024-10-25 19:10
5.1M
cargo-shuttle-0.48.3-r0.apk
2024-10-25 19:08
5.1M
comics-downloader-gui-0.33.8-r5.apk
2024-10-25 19:08
5.1M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 19:10
5.1M
alps-0_git20230807-r7.apk
2024-11-04 20:01
5.1M
dustracing2d-2.1.1-r1.apk
2024-10-25 19:09
5.1M
ocaml-lwt_ppx-5.7.0-r0.apk
2024-10-25 19:10
5.2M
jackline-0.1.0-r3.apk
2024-10-25 19:09
5.2M
openjdk22-demos-22.0.2_p9-r2.apk
2024-10-25 19:10
5.2M
openjdk23-demos-23.0.1_p11-r0.apk
2024-10-25 19:10
5.2M
cvise-2.8.0-r2.apk
2024-10-25 19:08
5.2M
drone-cli-1.8.0-r5.apk
2024-10-25 19:09
5.2M
upterm-server-0.14.3-r0.apk
2024-10-25 19:11
5.3M
glow-2.0.0-r0.apk
2024-10-25 19:09
5.3M
nauty-2.8.9-r0.apk
2024-10-25 19:10
5.3M
ocaml-bitstring-4.1.0-r3.apk
2024-10-25 19:10
5.4M
virter-0.28.1-r0.apk
2024-12-14 19:38
5.4M
upterm-0.14.3-r0.apk
2024-10-25 19:11
5.4M
flint-2.9.0-r2.apk
2024-10-25 19:09
5.5M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 19:09
5.5M
rattler-build-0.18.0-r0.apk
2024-10-25 19:10
5.5M
ocaml-cstruct-6.1.0-r3.apk
2024-10-25 19:10
5.5M
manticore-6.3.8-r0.apk
2024-12-04 11:57
5.5M
mesa-asahi-24.3.0_pre20241128-r1.apk
2024-12-07 19:21
5.5M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 19:10
5.6M
go-jsonnet-0.20.0-r9.apk
2024-10-25 19:09
5.6M
fluent-bit-3.1.10-r0.apk
2024-11-10 12:15
5.6M
yoshimi-2.3.2-r0.apk
2024-10-25 19:11
5.6M
fplll-libs-5.5.0-r0.apk
2024-11-20 00:45
5.6M
cargo-leptos-0.2.24-r0.apk
2024-12-08 20:37
5.7M
cargo-crev-0.25.9-r0.apk
2024-10-25 19:08
5.7M
mautrix-discord-0.7.2-r0.apk
2024-12-17 05:24
5.7M
rustic-0.9.3-r0.apk
2024-10-25 19:10
5.8M
advancemame-data-3.9-r4.apk
2024-10-25 19:08
5.8M
wasmtime-28.0.0-r0.apk
2024-12-21 22:51
5.8M
dotnet6-templates-6.0.136-r1.apk
2024-11-25 04:52
5.8M
pypy3-pyc-7.3.12-r0.apk
2024-10-25 19:10
5.9M
singular-static-4.4.0-r0.apk
2024-10-25 19:10
5.9M
ocaml-uucp-14.0.0-r2.apk
2024-10-25 19:10
5.9M
sydbox-utils-3.21.3-r0.apk
2024-10-25 19:10
5.9M
warzone2100-4.5.5-r1.apk
2024-12-14 19:38
5.9M
ocaml-sedlex-3.2-r0.apk
2024-10-25 19:10
5.9M
termusic-mpv-0.7.11-r0.apk
2024-10-25 19:10
6.0M
compiz-0.9.14.2-r7.apk
2024-11-22 15:30
6.0M
gb-0.4.4-r26.apk
2024-10-25 19:09
6.0M
warp-s3-1.0.6-r0.apk
2024-11-13 01:08
6.0M
muse-4.2.1-r1.apk
2024-10-25 19:10
6.1M
wf-shell-0.8.1-r0.apk
2024-10-25 19:11
6.1M
lychee-0.15.1-r0.apk
2024-10-25 19:09
6.1M
zrepl-0.6.1-r6.apk
2024-10-25 19:11
6.1M
ocamlnet-dev-4.1.9-r2.apk
2024-10-25 19:10
6.1M
go-passbolt-cli-0.3.1-r3.apk
2024-10-25 19:09
6.1M
pict-rs-0.5.16-r1.apk
2024-10-25 19:10
6.1M
ocaml-bisect_ppx-2.8.3-r0.apk
2024-10-25 19:10
6.1M
font-fira-ttf-4.202-r0.apk
2024-10-25 19:09
6.2M
kannel-1.5.0-r11.apk
2024-10-25 19:09
6.2M
libdcmtk-3.6.8-r0.apk
2024-10-25 19:09
6.2M
ocaml-higlo-0.9-r0.apk
2024-10-25 19:10
6.2M
theforceengine-doc-1.09.540-r1.apk
2024-10-25 19:11
6.3M
ocaml-stk-0.1.0-r0.apk
2024-10-25 19:10
6.3M
kompose-1.31.2-r5.apk
2024-10-25 19:09
6.4M
telegram-bot-api-8.1-r0.apk
2024-12-07 20:53
6.4M
openttd-14.1-r0.apk
2024-10-25 19:10
6.4M
fplll-static-5.5.0-r0.apk
2024-11-20 00:45
6.4M
nom-2.6.1-r0.apk
2024-10-25 19:10
6.5M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 19:09
6.5M
telegram-tdlib-1.8.41-r0.apk
2024-12-07 04:04
6.5M
py3-flask-admin-1.6.1-r3.apk
2024-10-25 19:10
6.5M
yaegi-0.16.1-r3.apk
2024-10-25 19:11
6.5M
unicorn-2.0.1-r4.apk
2024-10-25 19:11
6.5M
grlx-sprout-1.0.5-r0.apk
2024-10-25 19:09
6.5M
ocaml-ppx_deriving-5.3.0-r0.apk
2024-10-25 19:10
6.6M
fpc-stage0-3.2.2-r3.apk
2024-10-25 19:09
6.6M
openjdk22-jdk-22.0.2_p9-r2.apk
2024-10-25 19:10
6.6M
tomcat9-9.0.97-r0.apk
2024-11-20 00:46
6.7M
please-build-17.12.7-r0.apk
2024-12-14 16:39
6.7M
filebrowser-2.27.0-r6.apk
2024-10-25 19:09
6.7M
ocaml-containers-dev-3.7-r2.apk
2024-10-25 19:10
6.7M
sqlmap-1.8.11-r0.apk
2024-11-09 22:24
6.8M
openjdk23-jdk-23.0.1_p11-r0.apk
2024-10-25 19:10
6.8M
tabiew-0.7.1-r0.apk
2024-11-25 21:50
6.8M
theforceengine-1.09.540-r1.apk
2024-10-25 19:11
6.8M
act-0.2.70-r0.apk
2024-12-02 03:32
6.9M
desync-0.9.5-r8.apk
2024-10-25 19:08
6.9M
protoconf-0.1.7-r8.apk
2024-10-25 19:10
6.9M
boa-cli-0.20-r0.apk
2024-12-05 22:08
6.9M
intiface-central-2.6.4-r1.apk
2024-12-14 22:33
7.0M
gambit-dev-4.9.5-r0.apk
2024-10-25 19:09
7.1M
ovn-24.03.1-r0.apk
2024-10-25 19:10
7.1M
kine-0.10.1-r8.apk
2024-10-25 19:09
7.2M
sish-2.16.0-r2.apk
2024-10-25 19:10
7.2M
psst-0_git20240526-r1.apk
2024-10-25 19:10
7.3M
clementine-1.4.0_git20220324-r12.apk
2024-10-25 19:08
7.3M
oauth2-proxy-7.6.0-r6.apk
2024-10-25 19:10
7.3M
font-fira-otf-4.202-r0.apk
2024-10-25 19:09
7.4M
mailctl-0.9.2-r0.apk
2024-10-25 19:09
7.4M
ocp-index-1.3.6-r0.apk
2024-10-25 19:10
7.5M
grpcurl-1.9.2-r0.apk
2024-12-14 20:03
7.5M
azote-1.14.0-r0.apk
2024-12-14 20:38
7.6M
supercollider-3.13.0-r5.apk
2024-10-25 19:10
7.6M
crowdsec-splunk-plugin-1.6.4-r0.apk
2024-11-24 07:46
7.7M
crowdsec-sentinel-plugin-1.6.4-r0.apk
2024-11-24 07:46
7.7M
crowdsec-http-plugin-1.6.4-r0.apk
2024-11-24 07:46
7.7M
crowdsec-slack-plugin-1.6.4-r0.apk
2024-11-24 07:46
7.7M
crowdsec-email-plugin-1.6.4-r0.apk
2024-11-24 07:46
7.7M
unicorn-dev-2.0.1-r4.apk
2024-10-25 19:11
7.8M
tofutf-cli-0.10.0-r0.apk
2024-10-25 19:11
7.8M
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 19:10
7.9M
glmark2-2023.01-r1.apk
2024-10-25 19:09
7.9M
opcr-policy-0.2.21-r0.apk
2024-10-25 19:10
8.0M
transito-0.8.4-r0.apk
2024-11-20 00:46
8.0M
grpcui-1.4.2-r0.apk
2024-12-01 17:03
8.0M
mitra-3.12.0-r0.apk
2024-12-20 14:41
8.0M
faust-2.60.3-r2.apk
2024-10-25 19:09
8.0M
gambit-4.9.5-r0.apk
2024-10-25 19:09
8.1M
kanidm-unixd-clients-1.4.5-r0.apk
2024-12-21 18:32
8.1M
gnucash-lang-5.9-r1.apk
2024-11-20 00:45
8.1M
fwallet-1.2.0-r1.apk
2024-12-14 22:33
8.1M
gnucash-5.9-r1.apk
2024-11-20 00:45
8.2M
zot-cli-2.1.1-r0.apk
2024-12-15 19:24
8.3M
cloudfoundry-cli-8.7.9-r4.apk
2024-10-25 19:08
8.3M
aspnetcore6-runtime-6.0.36-r1.apk
2024-11-25 04:51
8.3M
itd-1.1.0-r8.apk
2024-10-25 19:09
8.4M
ocaml-lablgtk3-3.1.2-r3.apk
2024-10-25 19:10
8.4M
gmsh-4.12.2-r2.apk
2024-10-25 19:09
8.4M
bomctl-0.1.9-r1.apk
2024-10-25 19:08
8.4M
git-bug-0.8.0-r14.apk
2024-10-25 19:09
8.4M
jfrog-cli-2.45.0-r7.apk
2024-10-25 19:09
8.5M
py3-apicula-0.11.1-r1.apk
2024-10-25 19:10
8.5M
tofutf-agent-0.10.0-r0.apk
2024-10-25 19:11
8.5M
texlab-5.19.0-r0.apk
2024-10-25 19:10
8.5M
ocaml-atd-2.15.0-r0.apk
2024-10-25 19:10
8.5M
psi-plus-1.5.1965-r0.apk
2024-10-25 19:10
8.6M
art_standalone-dev-0_git20241102-r0.apk
2024-11-06 10:16
8.6M
tenv-3.2.4-r2.apk
2024-10-25 19:10
8.6M
cloudflared-2024.11.0-r0.apk
2024-12-14 20:41
8.6M
chamo-4.0-r0.apk
2024-10-25 19:08
8.8M
docker-auth-1.12.0-r0.apk
2024-11-13 11:52
8.8M
cc65-2.19-r0.apk
2024-10-25 19:08
8.8M
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 19:09
8.9M
onnxruntime-1.19.2-r2.apk
2024-11-11 18:08
8.9M
coccinelle-1.1.1-r2.apk
2024-10-25 19:08
9.0M
gortr-0.14.8-r8.apk
2024-10-25 19:09
9.0M
maddy-0.7.1-r5.apk
2024-10-25 19:09
9.0M
piper-phonemize-2023.11.14.4-r5.apk
2024-10-25 19:10
9.0M
rio-0.2.2-r0.apk
2024-11-24 22:44
9.0M
linphone-5.3.38-r0.apk
2024-10-25 19:09
9.0M
pixi-0.24.2-r0.apk
2024-10-25 19:10
9.1M
ppl-doc-1.2-r1.apk
2024-10-25 19:10
9.1M
regal-0.29.2-r0.apk
2024-12-14 19:38
9.3M
undock-0.8.0-r1.apk
2024-10-25 19:11
9.3M
hangover-wine-dev-9.5-r0.apk
2024-10-25 19:09
9.4M
dbmate-2.16.0-r0.apk
2024-10-25 19:08
9.4M
stayrtr-0.6.1-r0.apk
2024-10-25 19:10
9.5M
opa-0.70.0-r0.apk
2024-11-10 21:17
9.5M
sq-0.48.3-r0.apk
2024-10-25 19:10
9.6M
ko-0.17.1-r0.apk
2024-12-14 16:40
9.6M
mangal-4.0.6-r13.apk
2024-10-25 19:10
9.6M
sc3-plugins-3.13.0-r1.apk
2024-10-25 19:10
9.6M
py3-onnxruntime-1.19.2-r2.apk
2024-11-11 18:08
9.7M
ocaml-base-dev-0.16.3-r0.apk
2024-10-25 19:10
9.7M
kubeseal-0.27.3-r0.apk
2024-12-07 03:40
9.7M
pspp-2.0.1-r0.apk
2024-10-25 19:10
9.7M
ocaml-cohttp-tools-5.3.1-r0.apk
2024-10-25 19:10
9.9M
flightgear-2020.3.19-r1.apk
2024-10-25 19:09
9.9M
openapi-validator-1.19.2-r0.apk
2024-10-25 19:10
9.9M
lima-1.0.2-r0.apk
2024-12-07 20:44
9.9M
please-build-tools-17.12.7-r0.apk
2024-12-14 16:39
10M
adguardhome-0.107.55-r0.apk
2024-12-12 19:33
10M
seed7-05.20240322-r0.apk
2024-10-25 19:10
10M
ocaml-stk-dev-0.1.0-r0.apk
2024-10-25 19:10
10M
electron-lang-33.3.0-r0.apk
2024-12-08 00:59
10M
helm-ls-0.0.12-r4.apk
2024-10-25 19:09
10M
maxima-doc-extra-5.47.0-r8.apk
2024-10-25 19:10
10M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 19:10
10M
sing-box-1.10.3-r0.apk
2024-12-10 09:39
10M
ovn-dev-24.03.1-r0.apk
2024-10-25 19:10
10M
klfc-1.5.7-r0.apk
2024-10-25 19:09
10M
singular-4.4.0-r0.apk
2024-10-25 19:10
10M
cinny-web-4.2.3-r0.apk
2024-11-21 04:49
10M
mapnik-3.1.0-r29.apk
2024-11-07 23:59
10M
jackal-0.64.0-r10.apk
2024-10-25 19:09
10M
vcmi-1.5.7-r0.apk
2024-10-25 19:11
11M
haproxy-dataplaneapi-3.0.1-r0.apk
2024-10-25 19:09
11M
sonar-scanner-6.2.1.4610-r0.apk
2024-12-20 20:12
11M
ocaml-ocf-0.8.0-r3.apk
2024-10-25 19:10
11M
openttd-opensfx-1.0.3-r0.apk
2024-10-25 19:10
11M
anki-24.06.2-r0.apk
2024-10-25 19:08
11M
eccodes-2.32.1-r0.apk
2024-10-25 19:09
11M
linkquisition-1.6.1-r0.apk
2024-10-25 19:09
11M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 19:09
11M
gmic-3.3.5-r1.apk
2024-11-21 23:19
11M
cinny-4.2.3-r0.apk
2024-11-13 11:52
11M
buf-protoc-plugins-1.47.2-r0.apk
2024-12-14 19:38
11M
ocaml-gitlab-dev-0.1.8-r0.apk
2024-10-25 19:10
12M
waifu2x-converter-cpp-5.3.4-r7.apk
2024-10-25 19:11
12M
lemmy-0.19.5-r1.apk
2024-10-25 19:09
12M
kismet-0.202307.1-r4.apk
2024-11-11 18:08
12M
regclient-0.7.1-r0.apk
2024-10-25 19:10
12M
ocaml-xtmpl-0.19.0-r0.apk
2024-10-25 19:10
12M
noggin-model-0.1-r0.apk
2024-10-25 19:10
12M
chicago95-icons-3.0.1_git20240619-r0.apk
2024-10-25 19:08
12M
kopia-0.17.0-r2.apk
2024-10-25 19:09
12M
buf-1.47.2-r0.apk
2024-12-14 19:38
12M
nvidia-src-535.86.05-r0.apk
2024-10-25 19:10
12M
texmaker-6.0.0-r0.apk
2024-10-25 19:11
12M
gprbuild-22.0.0-r3.apk
2024-10-25 19:09
12M
linuxkit-1.5.2-r0.apk
2024-10-25 19:09
12M
haxe-4.3.6-r0.apk
2024-11-29 06:11
12M
katana-1.1.0-r4.apk
2024-10-25 19:09
12M
lima-guestagent-1.0.2-r0.apk
2024-12-07 20:44
12M
httpx-1.6.9-r1.apk
2024-12-01 17:52
12M
kube-no-trouble-0.7.3-r0.apk
2024-10-25 19:09
12M
tofutf-server-0.10.0-r0.apk
2024-10-25 19:11
13M
typlite-0.12.12-r0.apk
2024-12-17 20:18
13M
advancemame-3.9-r4.apk
2024-10-25 19:08
13M
vice-3.8-r0.apk
2024-10-25 19:11
13M
taskcafe-0.3.6-r8.apk
2024-10-25 19:10
13M
kanidm-server-1.4.5-r0.apk
2024-12-21 18:32
13M
pypy3-tests-7.3.12-r0.apk
2024-10-25 19:10
13M
kapp-0.64.0-r0.apk
2024-12-11 12:04
13M
tic-80-1.1.2837-r4.apk
2024-10-25 19:11
13M
grlx-farmer-1.0.5-r0.apk
2024-10-25 19:09
13M
utop-2.9.1-r4.apk
2024-10-25 19:11
13M
utop-full-2.9.1-r4.apk
2024-10-25 19:11
13M
dnscontrol-4.15.2-r0.apk
2024-12-17 20:02
14M
jami-qt-20230925-r0.apk
2024-10-25 19:09
14M
btcd-0.24.0-r3.apk
2024-10-25 19:08
14M
ocaml-dns-tools-6.2.2-r3.apk
2024-10-25 19:10
14M
prometheus-podman-exporter-1.13.3-r0.apk
2024-11-21 00:55
14M
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-10-25 19:10
14M
grlx-1.0.5-r0.apk
2024-10-25 19:09
14M
yass-2.5.0-r0.apk
2024-10-25 19:11
14M
virtctl-1.4.0-r0.apk
2024-12-14 18:09
14M
ocaml-ppxlib-0.32.0-r0.apk
2024-10-25 19:10
14M
r2ghidra-5.9.4-r2.apk
2024-11-20 00:45
14M
kubepug-1.7.1-r5.apk
2024-10-25 19:09
15M
dissent-0.0.31-r0.apk
2024-12-19 06:17
15M
flutter-tool-3.27.0-r0.apk
2024-12-14 22:33
15M
prowlarr-1.27.0.4852-r0.apk
2024-12-04 11:57
16M
ocaml-reason-3.8.2-r1.apk
2024-10-25 19:10
16M
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-10-25 19:10
16M
merlin-4.14-r0.apk
2024-10-25 19:10
16M
lidarr-2.7.1.4417-r0.apk
2024-10-28 12:23
16M
tinymist-0.12.12-r0.apk
2024-12-17 20:18
16M
stern-1.31.0-r0.apk
2024-10-25 19:10
16M
caprine-2.60.3-r0.apk
2024-12-04 21:56
16M
hubble-cli-0.13.6-r0.apk
2024-10-25 19:09
16M
icestorm-0_git20240517-r0.apk
2024-10-25 19:09
16M
faust-doc-2.60.3-r2.apk
2024-10-25 19:09
17M
keybase-client-6.2.8-r5.apk
2024-10-25 19:09
17M
ocamlnet-4.1.9-r2.apk
2024-10-25 19:10
17M
yosys-0.42-r0.apk
2024-10-25 19:11
17M
pypy3-7.3.12-r0.apk
2024-10-25 19:10
17M
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 19:08
18M
pcl-libs-1.14.0-r2.apk
2024-10-25 19:10
18M
manticore-tools-6.3.8-r0.apk
2024-12-04 11:57
18M
linux-elm-6.10.6-r0.apk
2024-10-25 19:09
18M
pypy-7.3.12-r0.apk
2024-10-25 19:10
18M
lomiri-sounds-22.02-r0.apk
2024-10-25 19:09
18M
rke-1.4.3-r10.apk
2024-10-25 19:10
18M
wtfutil-0.43.0-r8.apk
2024-10-25 19:11
18M
art_standalone-0_git20241102-r0.apk
2024-11-06 10:16
18M
font-babelstone-han-15.1.3-r0.apk
2024-10-25 19:09
18M
eclib-static-20240408-r1.apk
2024-10-25 19:09
19M
flightgear-dbg-2020.3.19-r1.apk
2024-10-25 19:09
19M
vector-0.39.0-r0.apk
2024-10-25 19:11
19M
pypy-bootstrap-7.3.12-r0.apk
2024-10-25 19:10
19M
tetragon-client-1.1.2-r0.apk
2024-10-25 19:10
19M
kuma-dp-2.8.4-r0.apk
2024-10-25 19:09
19M
mame-data-0.251-r0.apk
2024-10-25 19:10
19M
zafiro-icon-theme-1.3-r0.apk
2024-10-25 19:11
19M
py3-qgis-3.34.13-r0.apk
2024-11-30 21:05
19M
ombi-4.44.1-r0.apk
2024-10-25 19:10
19M
openjdk23-static-libs-23.0.1_p11-r0.apk
2024-10-25 19:10
19M
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 19:10
19M
telegram-tdlib-static-1.8.41-r0.apk
2024-12-07 04:04
19M
odin-vendor-0.2024.12-r0.apk
2024-12-07 20:25
20M
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 19:09
20M
thanos-0.31.0-r8.apk
2024-10-25 19:11
20M
knative-client-1.16.1-r0.apk
2024-12-14 20:03
20M
linux-asahi-6.12.4_p1-r0.apk
2024-12-17 11:04
20M
openjdk22-static-libs-22.0.2_p9-r2.apk
2024-10-25 19:10
20M
maxima-5.47.0-r8.apk
2024-10-25 19:10
21M
kumactl-2.8.4-r0.apk
2024-10-25 19:09
21M
seaweedfs-3.79-r0.apk
2024-11-10 08:07
21M
avara-0.7.1-r1.apk
2024-11-04 08:52
21M
futhark-0.25.24-r0.apk
2024-12-06 22:56
22M
nsq-1.3.0-r5.apk
2024-10-25 19:10
22M
linux-asahi-dev-6.12.4_p1-r0.apk
2024-12-17 11:04
22M
element-desktop-1.11.89-r0.apk
2024-12-20 05:46
22M
aero2solver-1.1.0-r0.apk
2024-10-25 19:08
22M
mint-x-icons-1.7.1-r0.apk
2024-11-12 10:59
22M
angband-4.2.5-r0.apk
2024-10-25 19:08
23M
polycule-0.0.3-r2.apk
2024-12-14 22:33
23M
stacker-1.0.0-r0.apk
2024-10-25 19:10
23M
merlin-dev-4.14-r0.apk
2024-10-25 19:10
23M
code-oss-1.96.1-r0.apk
2024-12-19 05:41
23M
android-apktool-2.10.0-r0.apk
2024-10-25 19:08
23M
sourcegit-8.33-r0.apk
2024-10-25 19:10
23M
openra-20231010-r0.apk
2024-10-25 19:10
23M
lem-2.2.0-r0.apk
2024-10-25 19:09
23M
sonarr-4.0.11.2680-r0.apk
2024-12-04 11:57
24M
popeye-0.21.5-r0.apk
2024-10-25 19:10
24M
kuma-cp-2.8.4-r0.apk
2024-10-25 19:09
24M
radarr-5.15.1.9463-r0.apk
2024-11-25 14:13
24M
kubeone-1.9.0-r0.apk
2024-12-14 18:12
24M
logisim-evolution-3.8.0-r0.apk
2024-10-25 19:09
24M
reason-rtop-3.8.2-r1.apk
2024-10-25 19:10
24M
nextpnr-ecp5-0.7-r0.apk
2024-10-25 19:10
25M
lem-gui-2.2.0-r0.apk
2024-10-25 19:09
26M
reason-3.8.2-r1.apk
2024-10-25 19:10
26M
ovn-dbg-24.03.1-r0.apk
2024-10-25 19:10
26M
vals-0.38.0-r0.apk
2024-12-14 17:24
26M
linux-gru-6.11.0-r0.apk
2024-10-25 19:09
26M
dotnet6-runtime-6.0.36-r1.apk
2024-11-25 04:52
26M
sdl3-dev-3.1.6-r0.apk
2024-11-02 20:29
26M
cfssl-1.6.5-r0.apk
2024-10-25 19:08
27M
filebeat-8.14.2-r0.apk
2024-10-25 19:09
27M
usql-0.15.6-r7.apk
2024-10-25 19:11
28M
thelounge-4.4.3-r0.apk
2024-10-25 19:11
28M
otrs-6.0.48-r2.apk
2024-10-25 19:10
29M
ocaml-reason-dev-3.8.2-r1.apk
2024-10-25 19:10
29M
spark-2.8.3-r1.apk
2024-10-25 19:10
29M
font-hanazono-20170904-r1.apk
2024-10-25 19:09
29M
flutter-desktop-3.27.0-r0.apk
2024-12-14 22:33
31M
crowdsec-1.6.4-r0.apk
2024-11-24 07:46
31M
bazel7-7.4.1-r0.apk
2024-11-15 11:38
31M
qgis-lang-3.34.13-r0.apk
2024-11-30 21:05
31M
oxygen-icons-6.1.0-r0.apk
2024-10-25 19:10
32M
liquibase-4.9.1-r0.apk
2024-10-25 19:09
32M
stone-soup-0.32.1-r0.apk
2024-10-25 19:10
32M
zfs-src-2.2.4-r0.apk
2024-10-25 19:11
33M
zed-0.138.4-r4.apk
2024-10-25 19:11
33M
stockfish-16-r0.apk
2024-10-25 19:10
33M
dolt-1.43.20-r0.apk
2024-12-06 10:31
33M
cdogs-sdl-2.1.0-r0.apk
2024-10-25 19:08
33M
efl-1.27.0-r1.apk
2024-10-25 19:09
34M
metricbeat-8.14.2-r0.apk
2024-10-25 19:10
35M
yaru-icon-theme-23.10.0-r1.apk
2024-12-01 02:28
35M
vlang-0.4.8-r0.apk
2024-10-25 19:11
35M
argocd-2.13.1-r0.apk
2024-12-11 21:06
35M
ma1sd-2.5.0-r3.apk
2024-10-25 19:09
38M
godot-templates-4.3-r2.apk
2024-10-25 19:09
39M
calibre-7.23.0-r0.apk
2024-12-21 03:30
39M
extremetuxracer-0.8.3-r0.apk
2024-10-25 19:09
40M
flutter-common-3.27.0-r0.apk
2024-12-14 22:33
40M
libmedc-doc-4.1.1-r4.apk
2024-10-25 19:09
41M
helmfile-0.169.2-r0.apk
2024-12-14 17:24
41M
qt-creator-14.0.1-r0.apk
2024-10-25 19:10
41M
cura-5.2.2-r1.apk
2024-10-25 19:08
42M
sdrangel-7.20.0-r1.apk
2024-10-25 19:10
43M
godot-4.3-r2.apk
2024-10-25 19:09
43M
qgis-3.34.13-r0.apk
2024-11-30 21:05
44M
openfire-4.8.1-r1.apk
2024-12-04 11:57
46M
dart-3.6.0-r0.apk
2024-12-14 22:32
47M
starfighter-2.4-r0.apk
2024-10-25 19:10
48M
openjdk23-src-23.0.1_p11-r0.apk
2024-10-25 19:10
48M
openjdk22-src-22.0.2_p9-r2.apk
2024-10-25 19:10
48M
gutenprint-cups-5.3.4-r5.apk
2024-10-25 19:09
49M
mame-mess-0.251-r0.apk
2024-10-25 19:10
49M
zarf-0.32.1-r5.apk
2024-10-25 19:11
50M
cilium-cli-0.16.13-r0.apk
2024-10-25 19:08
51M
signal-desktop-7.36.0-r1.apk
2024-12-16 11:50
55M
kanister-tools-0.112.0-r0.apk
2024-10-25 19:09
55M
lemmy-ui-0.19.5-r0.apk
2024-10-25 19:09
56M
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 19:10
57M
zot-2.1.1-r0.apk
2024-12-15 19:24
59M
hashcat-6.2.6-r0.apk
2024-10-25 19:09
59M
openjdk22-jre-headless-22.0.2_p9-r2.apk
2024-10-25 19:10
61M
lxd-feature-5.20-r6.apk
2024-10-25 19:09
62M
mame-arcade-0.251-r0.apk
2024-10-25 19:10
64M
trivy-0.50.4-r3.apk
2024-10-25 19:11
65M
openjdk23-jre-headless-23.0.1_p11-r0.apk
2024-10-25 19:10
65M
mimir-2.14.2-r0.apk
2024-11-22 09:35
66M
nextpnr-ice40-0.7-r0.apk
2024-10-25 19:10
69M
fpc-3.2.2-r4.apk
2024-10-25 19:09
69M
mint-y-icons-1.7.7-r0.apk
2024-11-12 11:03
72M
firefox-developer-edition-134.0_beta10-r0.apk
2024-12-19 23:18
75M
openjdk22-jmods-22.0.2_p9-r2.apk
2024-10-25 19:10
75M
haskell-language-server-2.9.0.0-r0.apk
2024-10-25 19:09
76M
alloy-1.5.1-r0.apk
2024-12-11 20:39
77M
openjdk23-jmods-23.0.1_p11-r0.apk
2024-10-25 19:10
79M
mono-6.12.0.205-r1.apk
2024-10-25 19:10
82M
texstudio-4.8.5-r0.apk
2024-12-14 20:03
83M
electron-33.3.0-r0.apk
2024-12-08 00:59
85M
mame-0.251-r0.apk
2024-10-25 19:09
91M
piglit-0_git20241106-r0.apk
2024-11-08 07:42
92M
dotnet6-sdk-6.0.136-r1.apk
2024-11-25 04:52
100M
hangover-wine-9.5-r0.apk
2024-10-25 19:09
107M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 19:10
114M
srb2-data-2.2.13-r0.apk
2024-10-25 19:10
138M
art_standalone-dbg-0_git20241102-r0.apk
2024-11-06 10:16
141M
gmsh-dbg-4.12.2-r2.apk
2024-10-25 19:09
141M
dart-sdk-3.6.0-r0.apk
2024-12-14 22:33
158M
dart-stage0-3.6.0_alpha2_p0-r0.apk
2024-12-14 22:33
198M
endless-sky-0.10.2-r0.apk
2024-10-25 19:09
242M
warzone2100-data-4.5.5-r1.apk
2024-12-14 19:38
328M
trigger-rally-data-0.6.7-r2.apk
2024-10-25 19:11
352M
dotnet6-stage0-bootstrap-6.0.116-r4.apk
2024-10-25 19:09
399M
dotnet6-artifacts-6.0.136-r1.apk
2024-11-25 04:51
441M
sauerbraten-2020.12.29-r3.apk
2024-10-25 19:10
934M
dotnet6-stage0-artifacts-6.0.116-r4.apk
2024-10-25 19:09
1.0G
dotnet6-build-6.0.136-r1.apk
2024-11-25 04:52
1.2G