Index of /alpine/edge/testing/armhf

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]3proxy-0.9.4-r1.apk2024-10-25 20:05 320K 
[   ]3proxy-doc-0.9.4-r1.apk2024-10-25 20:05 25K 
[   ]3proxy-openrc-0.9.4-r1.apk2024-10-25 20:05 1.7K 
[   ]66-0.8.0.2-r0.apk2025-02-11 22:17 302K 
[   ]66-dev-0.8.0.2-r0.apk2025-02-11 22:17 483K 
[   ]66-doc-0.8.0.2-r0.apk2025-02-11 22:17 168K 
[   ]66-init-0.8.0.2-r0.apk2025-02-11 22:17 1.5K 
[   ]66-tools-0.1.1.0-r0.apk2025-01-12 12:35 61K 
[   ]66-tools-dev-0.1.1.0-r0.apk2025-01-12 12:35 1.8K 
[   ]66-tools-doc-0.1.1.0-r0.apk2025-01-12 12:35 39K 
[   ]66-tools-nsrules-0.1.1.0-r0.apk2025-01-12 12:35 2.8K 
[   ]APKINDEX.tar.gz2025-03-12 16:32 847K 
[   ]a2jmidid-9-r3.apk2024-10-25 20:05 27K 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 20:05 4.2K 
[   ]abc-0_git20240102-r0.apk2024-10-25 20:05 4.8M 
[   ]abuild-muon-0.4.0-r0.apk2025-02-12 14:42 1.8K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 20:05 155K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 20:05 49K 
[   ]acmetool-0.2.2-r10.apk2025-02-10 01:32 4.1M 
[   ]acmetool-doc-0.2.2-r10.apk2025-02-10 01:32 47K 
[   ]adguardhome-0.107.57-r0.apk2025-02-20 22:29 10M 
[   ]adguardhome-openrc-0.107.57-r0.apk2025-02-20 22:29 2.1K 
[   ]adjtimex-1.29-r0.apk2024-10-25 20:05 20K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 20:05 7.1K 
[   ]admesh-0.98.5-r0.apk2024-10-25 20:05 25K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 20:05 4.0K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 20:05 23K 
[   ]advancescan-1.18-r1.apk2024-10-25 20:05 240K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 20:05 7.3K 
[   ]adwaita-fonts-48.2-r0.apk2025-03-11 15:20 1.2K 
[   ]adwaita-fonts-mono-48.2-r0.apk2025-03-11 15:20 2.2M 
[   ]adwaita-fonts-sans-48.2-r0.apk2025-03-11 15:20 938K 
[   ]afetch-2.2.0-r1.apk2024-10-25 20:05 9.4K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 20:05 14K 
[   ]agate-3.3.8-r0.apk2024-10-25 20:05 758K 
[   ]agate-openrc-3.3.8-r0.apk2024-10-25 20:05 2.0K 
[   ]agrep-0.8.0-r2.apk2024-10-25 20:05 8.3K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 20:05 4.1K 
[   ]aide-0.18.8-r0.apk2024-10-25 20:05 79K 
[   ]aide-doc-0.18.8-r0.apk2024-10-25 20:05 14K 
[   ]ajv-cli-6.0.0-r0.apk2025-02-20 22:44 158K 
[   ]ajv-cli-doc-6.0.0-r0.apk2025-02-20 22:44 2.2K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 20:05 6.5K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 20:05 18K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 20:05 2.5K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 20:05 4.0K 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 20:05 3.8K 
[   ]alps-0_git20230807-r9.apk2025-02-10 01:32 5.2M 
[   ]alps-openrc-0_git20230807-r9.apk2025-02-10 01:32 2.0K 
[   ]alttab-1.7.1-r0.apk2024-10-25 20:05 37K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 20:05 10K 
[   ]amber-0.3.3-r0.apk2024-10-25 20:05 414K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 20:05 14K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 20:05 9.6K 
[   ]amiitool-2-r2.apk2024-10-25 20:05 6.7K 
[   ]ampy-1.1.0-r5.apk2024-10-25 20:05 16K 
[   ]ampy-doc-1.1.0-r5.apk2024-10-25 20:05 3.4K 
[   ]ampy-pyc-1.1.0-r5.apk2024-10-25 20:05 20K 
[   ]amule-2.3.3-r13.apk2024-10-25 20:05 4.0M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 20:05 281K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 20:05 1.6M 
[   ]anarch-1.0-r1.apk2024-10-25 20:05 95K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 20:05 18K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 20:05 285K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 20:05 59K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 20:05 202K 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 20:05 1.9M 
[   ]android-file-transfer-4.3-r1.apk2025-01-26 20:17 179K 
[   ]android-file-transfer-cli-4.3-r1.apk2025-01-26 20:17 104K 
[   ]android-file-transfer-dev-4.3-r1.apk2025-01-26 20:17 1.5K 
[   ]android-file-transfer-libs-4.3-r1.apk2025-01-26 20:17 122K 
[   ]angband-4.2.5-r0.apk2024-10-25 20:05 23M 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 20:05 36K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 20:05 10K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 20:05 65K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 20:05 4.7K 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 20:05 3.0K 
[   ]antibody-6.1.1-r25.apk2025-02-10 01:32 1.7M 
[   ]antimicrox-3.4.0-r0.apk2024-10-25 20:05 1.6M 
[   ]antimicrox-doc-3.4.0-r0.apk2024-10-25 20:05 23K 
[   ]anubis-1.10.0_git20250303-r0.apk2025-03-04 21:01 5.0M 
[   ]aoetools-37-r2.apk2025-01-14 08:23 20K 
[   ]aoetools-doc-37-r2.apk2025-01-14 08:23 14K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 20:05 56K 
[   ]apache-mod-auth-openidc-2.4.16.4-r0.apk2024-10-25 20:05 220K 
[   ]apache-mod-auth-openidc-doc-2.4.16.4-r0.apk2024-10-25 20:05 4.8K 
[   ]apache-mod-auth-openidc-static-2.4.16.4-r0.apk2024-10-25 20:05 282K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 20:05 7.2K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 20:05 10K 
[   ]apache2-mod-perl-2.0.13-r1.apk2024-10-25 20:05 646K 
[   ]apache2-mod-perl-dbg-2.0.13-r1.apk2024-10-25 20:05 77K 
[   ]apache2-mod-perl-dev-2.0.13-r1.apk2024-10-25 20:05 40K 
[   ]apache2-mod-perl-doc-2.0.13-r1.apk2024-10-25 20:05 303K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 20:05 4.7K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-19 22:34 13K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-19 22:34 7.0K 
[   ]apk-readme-0.1-r1.apk2024-10-25 20:05 1.3K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 20:05 6.6K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 20:05 20K 
[   ]apk-tools3-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 51K 
[   ]apk-tools3-dbg-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 626K 
[   ]apk-tools3-dev-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 23K 
[   ]apk-tools3-doc-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 40K 
[   ]apk-tools3-static-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 1.9M 
[   ]aports-glmr-0.2-r25.apk2025-02-10 01:32 2.3M 
[   ]appcenter-8.0.0-r0.apk2024-11-12 21:55 393K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 21:55 258K 
[   ]aprilsh-0.7.12-r2.apk2025-02-10 01:32 1.6K 
[   ]aprilsh-client-0.7.12-r2.apk2025-02-10 01:32 3.0M 
[   ]aprilsh-doc-0.7.12-r2.apk2025-02-10 01:32 14K 
[   ]aprilsh-openrc-0.7.12-r2.apk2025-02-10 01:32 1.8K 
[   ]aprilsh-server-0.7.12-r2.apk2025-02-10 01:32 2.3M 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 20:05 56K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 20:05 9.9K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 20:05 13K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 20:05 9.4K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 20:05 4.6K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:43 85K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:43 3.4K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:43 14K 
[   ]apulse-0.1.13-r2.apk2024-10-25 20:05 36K 
[   ]apulse-doc-0.1.13-r2.apk2024-10-25 20:05 2.8K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 20:05 1.6M 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 20:05 7.5K 
[   ]arc-20221218-r0.apk2024-10-25 20:05 1.7K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 20:05 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 20:05 68K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 20:05 27K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 20:05 93K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 20:05 86K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 20:05 7.9K 
[   ]arc-darker-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 20:05 39K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 20:05 124K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 20:05 110K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 20:05 7.9K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 20:05 29K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 20:05 126K 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 20:05 114K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 20:05 4.4M 
[   ]arc-lighter-20221218-r0.apk2024-10-25 20:05 1.8K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 20:05 38K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 20:05 125K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 20:05 113K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 20:05 7.7K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 20:05 17K 
[   ]arc-theme-20221218-r0.apk2024-10-25 20:05 1.4K 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 20:05 7.7K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 20:05 1.6M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 20:05 92K 
[   ]asdf-0.16.5-r0.apk2025-03-07 06:29 4.6M 
[   ]asdf-doc-0.16.5-r0.apk2025-03-07 06:29 2.2K 
[   ]aspell-es-1.11-r0.apk2024-10-25 20:05 533K 
[   ]asymptote-2.91-r0.apk2024-10-25 20:05 1.4M 
[   ]asymptote-doc-2.91-r0.apk2024-10-25 20:05 2.8M 
[   ]atac-0.18.1-r0.apk2024-11-25 21:43 4.8M 
[   ]atomicparsley-20240608-r0.apk2024-10-25 20:05 115K 
[   ]atool-0.39.0-r4.apk2024-10-25 20:05 18K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 20:05 2.0K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 20:05 9.6K 
[   ]atools-go-0.3.0-r1.apk2025-02-10 01:32 1.0M 
[   ]atools-go-doc-0.3.0-r1.apk2025-02-10 01:32 2.2K 
[   ]ats2-0.4.2-r0.apk2024-10-25 20:05 1.7M 
[   ]aufs-util-20161219-r3.apk2024-10-25 20:05 191K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 20:05 1.5K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 20:05 34K 
[   ]authenticator-rs-0.7.5-r0.apk2024-10-25 20:05 2.0M 
[   ]authenticator-rs-lang-0.7.5-r0.apk2024-10-25 20:05 3.7K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 20:05 5.5K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 20:05 35K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 20:05 12K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 20:05 54K 
[   ]autorestic-1.8.3-r2.apk2025-02-10 01:32 3.4M 
[   ]autotrash-0.4.7-r0.apk2024-10-25 20:05 23K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 20:05 14K 
[   ]avahi2dns-0.0.1_git20240102-r4.apk2025-02-10 01:32 2.2M 
[   ]avahi2dns-openrc-0.0.1_git20240102-r4.apk2025-02-10 01:32 1.7K 
[   ]avarice-2.14-r4.apk2024-10-25 20:05 80K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 20:05 9.4K 
[   ]avra-1.4.2-r0.apk2024-10-25 20:05 38K 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 20:05 255K 
[   ]azote-1.14.0-r0.apk2024-12-14 20:38 7.6M 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 20:38 98K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 11:09 702K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 11:09 42K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 20:05 777K 
[   ]b2-tools-4.2.0-r0.apk2025-01-12 13:27 72K 
[   ]b2-tools-pyc-4.2.0-r0.apk2025-01-12 13:27 135K 
[   ]b2sum-20190729-r2.apk2024-10-25 20:05 16K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 20:05 2.7K 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 20:05 55K 
[   ]baikal-0.10.1-r0.apk2024-11-10 16:49 1.2M 
[   ]baikal-mysql-0.10.1-r0.apk2024-11-10 16:49 1.2K 
[   ]baikal-pgsql-0.10.1-r0.apk2024-11-10 16:49 1.2K 
[   ]baikal-sqlite-0.10.1-r0.apk2024-11-10 16:49 1.4K 
[   ]bake-2.5.1-r0.apk2024-10-25 20:05 109K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 20:05 39K 
[   ]bananui-2.0.0-r0.apk2024-10-25 20:05 52K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 20:05 6.9K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 20:05 39K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 20:05 171K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 20:05 8.2K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 20:05 83K 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 20:05 92K 
[   ]bankstown-lv2-1.1.0-r0.apk2024-10-25 20:05 169K 
[   ]baresip-3.20.0-r0.apk2025-02-25 12:47 1.0M 
[   ]baresip-dev-3.20.0-r0.apk2025-02-25 12:47 16K 
[   ]barman-3.13.0-r0.apk2025-02-25 10:17 345K 
[   ]barman-bash-completion-3.13.0-r0.apk2025-02-25 10:17 1.6K 
[   ]barman-doc-3.13.0-r0.apk2025-02-25 10:17 80K 
[   ]barman-pyc-3.13.0-r0.apk2025-02-25 10:17 550K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 20:05 122K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 20:05 2.8K 
[   ]barrier-2.4.0-r2.apk2025-02-17 12:07 910K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-17 12:07 13K 
[   ]bartib-1.0.1-r1.apk2024-10-25 20:05 360K 
[   ]base64c-0.2.1-r0.apk2024-10-25 20:05 4.2K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 20:05 5.1K 
[   ]batmon-0.0.1-r0.apk2024-10-25 20:05 444K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 20:05 35K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 20:05 3.5K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 20:05 7.4K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 20:05 3.0K 
[   ]bdfr-2.6.2-r1.apk2024-10-25 20:05 131K 
[   ]beard-0.4-r0.apk2024-10-25 20:05 3.1K 
[   ]beard-doc-0.4-r0.apk2024-10-25 20:05 2.5K 
[   ]bees-0.10-r2.apk2024-10-25 20:05 303K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 20:05 1.9K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:48 12K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:48 11K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:48 193K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:52 630K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:52 54K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:52 102K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:52 15K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 20:05 97K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 20:05 20K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 20:05 1.7K 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 20:05 18M 
[   ]bgpq4-1.15-r0.apk2024-10-25 20:05 34K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 20:05 6.3K 
[   ]bgs-0.8-r1.apk2024-10-25 20:05 5.8K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 20:05 2.3K 
[   ]bindfs-1.17.7-r0.apk2025-01-02 15:13 22K 
[   ]bindfs-doc-1.17.7-r0.apk2025-01-02 15:13 9.0K 
[   ]biometryd-0.3.1-r7.apk2025-02-17 12:07 282K 
[   ]biometryd-dev-0.3.1-r7.apk2025-02-17 12:07 13K 
[   ]bird3-3.0.0-r0.apk2024-12-20 17:10 565K 
[   ]bird3-dbg-3.0.0-r0.apk2024-12-20 17:10 2.2M 
[   ]bird3-openrc-3.0.0-r0.apk2024-12-20 17:10 2.3K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 20:05 395K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 20:05 54K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 20:05 43K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 20:05 2.1M 
[   ]bkt-0.8.0-r0.apk2024-10-25 20:05 362K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 20:05 7.3K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 20:05 16K 
[   ]blip-0.10-r0.apk2024-10-25 20:05 15K 
[   ]blip-doc-0.10-r0.apk2024-10-25 20:05 30K 
[   ]bliss-0.77-r1.apk2024-10-25 20:05 61K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 20:05 99K 
[   ]bobcat-4.09.00-r0.apk2024-10-25 20:05 681K 
[   ]bobcat-dev-4.09.00-r0.apk2024-10-25 20:05 727K 
[   ]bobcat-doc-4.09.00-r0.apk2024-10-25 20:05 345K 
[   ]bochs-2.8-r1.apk2025-02-20 18:48 937K 
[   ]bochs-doc-2.8-r1.apk2025-02-20 18:48 139K 
[   ]boinc-7.24.3-r0.apk2024-10-25 20:05 1.5M 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 20:05 582K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 20:05 8.0K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 20:05 1.0M 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 20:05 877K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 20:05 200K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 20:05 119K 
[   ]bomctl-0.1.9-r3.apk2025-02-10 01:32 8.7M 
[   ]bomctl-bash-completion-0.1.9-r3.apk2025-02-10 01:32 5.1K 
[   ]bomctl-fish-completion-0.1.9-r3.apk2025-02-10 01:32 4.3K 
[   ]bomctl-zsh-completion-0.1.9-r3.apk2025-02-10 01:32 4.0K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 20:05 620K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 136K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 20:05 19K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 20:05 8.2K 
[   ]bootloose-0.7.1-r8.apk2025-02-10 01:32 2.1M 
[   ]bootterm-0.5-r0.apk2024-10-25 20:05 18K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 20:05 2.3K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:18 572K 
[   ]boson-0_git20211219-r0.apk2024-10-25 20:05 16K 
[   ]boxed-cpp-1.4.3-r0.apk2024-10-25 20:05 1.2K 
[   ]boxed-cpp-dev-1.4.3-r0.apk2024-10-25 20:05 6.2K 
[   ]boxed-cpp-doc-1.4.3-r0.apk2024-10-25 20:05 5.4K 
[   ]boxes-2.3.1-r0.apk2024-10-25 20:05 75K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 20:05 7.1K 
[   ]brial-1.2.11-r4.apk2024-10-25 20:05 1.0M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 20:05 1.7M 
[   ]brltty-6.7-r0.apk2024-10-25 20:05 2.0M 
[   ]brltty-dev-6.7-r0.apk2024-10-25 20:05 140K 
[   ]brltty-doc-6.7-r0.apk2024-10-25 20:05 9.4K 
[   ]brltty-lang-6.7-r0.apk2024-10-25 20:05 149K 
[   ]brltty-static-6.7-r0.apk2024-10-25 20:05 23K 
[   ]btpd-0.16-r2.apk2024-10-25 20:05 70K 
[   ]btpd-doc-0.16-r2.apk2024-10-25 20:05 8.4K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 20:05 21K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 20:05 29K 
[   ]burp-3.1.4-r0.apk2024-10-25 20:05 165K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 20:05 99K 
[   ]burp-server-3.1.4-r0.apk2024-10-25 20:05 36K 
[   ]butane-0.22.0-r2.apk2025-02-10 01:32 2.6M 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 20:05 14K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 20:05 2.5K 
[   ]c2rust-0.18.0-r1.apk2024-10-25 20:05 2.0M 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 20:05 100K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 20:05 3.2K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 20:05 34K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-25 20:05 24K 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 20:05 4.4K 
[   ]care-2.3.0-r1.apk2024-10-25 20:05 88K 
[   ]care-doc-2.3.0-r1.apk2024-10-25 20:05 7.9K 
[   ]cargo-chef-0.1.71-r0.apk2025-01-29 23:01 1.1M 
[   ]cargo-chef-doc-0.1.71-r0.apk2025-01-29 23:01 9.0K 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:15 6.2M 
[   ]cargo-expand-1.0.101-r0.apk2025-03-03 22:39 2.3M 
[   ]cargo-expand-doc-1.0.101-r0.apk2025-03-03 22:39 5.7K 
[   ]cargo-flamegraph-0.6.7-r0.apk2025-01-13 11:49 1.3M 
[   ]cargo-flamegraph-bash-completion-0.6.7-r0.apk2025-01-13 11:49 2.3K 
[   ]cargo-flamegraph-doc-0.6.7-r0.apk2025-01-13 11:49 15K 
[   ]cargo-flamegraph-fish-completion-0.6.7-r0.apk2025-01-13 11:49 2.5K 
[   ]cargo-flamegraph-zsh-completion-0.6.7-r0.apk2025-01-13 11:49 2.9K 
[   ]cargo-generate-0.22.1-r0.apk2025-02-24 14:56 2.4M 
[   ]cargo-machete-0.7.0-r0.apk2024-12-28 18:15 1.2M 
[   ]cargo-machete-doc-0.7.0-r0.apk2024-12-28 18:15 3.9K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 20:05 446K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 20:05 5.0K 
[   ]cargo-shuttle-0.52.0-r0.apk2025-02-04 21:58 4.4M 
[   ]cargo-shuttle-bash-completion-0.52.0-r0.apk2025-02-04 21:58 4.7K 
[   ]cargo-shuttle-doc-0.52.0-r0.apk2025-02-04 21:58 9.1K 
[   ]cargo-shuttle-fish-completion-0.52.0-r0.apk2025-02-04 21:58 7.3K 
[   ]cargo-shuttle-zsh-completion-0.52.0-r0.apk2025-02-04 21:58 7.3K 
[   ]cargo-sort-1.0.9_git20240110-r0.apk2024-10-25 20:05 412K 
[   ]cargo-udeps-0.1.55-r1.apk2025-02-24 14:56 5.0M 
[   ]cargo-udeps-doc-0.1.55-r1.apk2025-02-24 14:56 7.4K 
[   ]cargo-update-16.1.0-r1.apk2025-02-24 14:56 1.1M 
[   ]cargo-update-doc-16.1.0-r1.apk2025-02-24 14:56 8.2K 
[   ]cargo-vendor-filterer-0.5.9-r1.apk2024-10-25 20:05 442K 
[   ]castero-0.9.5-r3.apk2024-10-25 20:05 52K 
[   ]castero-pyc-0.9.5-r3.apk2024-10-25 20:05 95K 
[   ]castor-0.9.0-r2.apk2024-10-25 20:05 715K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 20:05 12K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 20:05 4.9K 
[   ]catdoc-0.95-r1.apk2024-10-25 20:05 110K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 20:05 9.2K 
[   ]catfish-4.20.0-r0.apk2024-12-27 10:36 126K 
[   ]catfish-doc-4.20.0-r0.apk2024-12-27 10:36 13K 
[   ]catfish-lang-4.20.0-r0.apk2024-12-27 10:36 162K 
[   ]catfish-pyc-4.20.0-r0.apk2024-12-27 10:36 101K 
[   ]cava-0.10.4-r1.apk2025-02-17 22:18 43K 
[   ]cc65-2.19-r0.apk2024-10-25 20:05 8.8M 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 20:05 88K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 20:05 53K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 20:05 31K 
[   ]ccze-0.2.1-r1.apk2024-10-25 20:05 72K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 20:05 3.3K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 20:05 8.8K 
[   ]cdba-1.0-r2.apk2024-10-25 20:05 7.9K 
[   ]cdba-server-1.0-r2.apk2024-10-25 20:05 20K 
[   ]cddlib-0.94m-r2.apk2024-10-25 20:05 169K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 20:05 14K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 20:05 864K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 20:05 235K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 20:05 35K 
[   ]cdist-7.0.0-r6.apk2024-10-25 20:05 511K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 20:05 128K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 20:05 33M 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:06 9.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:06 4.2K 
[   ]certbot-dns-pdns-0.1.1-r0.apk2024-10-25 20:05 8.8K 
[   ]certbot-dns-pdns-pyc-0.1.1-r0.apk2024-10-25 20:05 3.9K 
[   ]certigo-1.16.0-r20.apk2025-02-10 01:32 3.4M 
[   ]certstrap-1.3.0-r20.apk2025-02-10 01:32 2.0M 
[   ]cfssl-1.6.5-r2.apk2025-02-10 01:32 27M 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 20:06 132K 
[   ]cgo-0.6.1-r1.apk2024-10-25 20:06 10K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 20:06 4.1K 
[   ]chamo-4.0-r0.apk2024-10-25 20:06 6.3M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 20:06 1.4M 
[   ]chamo-dev-4.0-r0.apk2024-10-25 20:06 4.1M 
[   ]charls-2.4.2-r0.apk2024-10-25 20:06 61K 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 20:06 27K 
[   ]chasquid-1.15.0-r1.apk2025-02-10 01:32 10M 
[   ]chasquid-doc-1.15.0-r1.apk2025-02-10 01:32 15K 
[   ]chasquid-openrc-1.15.0-r1.apk2025-02-10 01:32 1.9K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 20:06 369K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 20:06 4.1K 
[   ]cherrytree-1.2.0-r4.apk2025-02-17 12:07 2.6M 
[   ]cherrytree-doc-1.2.0-r4.apk2025-02-17 12:07 2.1K 
[   ]cherrytree-lang-1.2.0-r4.apk2025-02-17 12:07 846K 
[   ]chicago95-3.0.1_git20240619-r0.apk2024-10-25 20:06 481K 
[   ]chicago95-fonts-3.0.1_git20240619-r0.apk2024-10-25 20:06 215K 
[   ]chicago95-icons-3.0.1_git20240619-r0.apk2024-10-25 20:06 12M 
[   ]chim-1.1.2-r1.apk2024-10-25 20:06 1.6M 
[   ]chim-doc-1.1.2-r1.apk2024-10-25 20:06 2.8K 
[   ]chocolate-doom-3.1.0-r0.apk2024-10-25 20:06 1.6M 
[   ]chocolate-doom-doc-3.1.0-r0.apk2024-10-25 20:06 231K 
[   ]cilium-cli-0.16.13-r2.apk2025-02-10 01:32 53M 
[   ]cilium-cli-bash-completion-0.16.13-r2.apk2025-02-10 01:32 5.0K 
[   ]cilium-cli-fish-completion-0.16.13-r2.apk2025-02-10 01:32 4.3K 
[   ]cilium-cli-zsh-completion-0.16.13-r2.apk2025-02-10 01:32 4.0K 
[   ]cimg-3.4.1-r0.apk2024-10-25 20:06 826K 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 20:06 20K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 20:06 1.1M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 20:06 18K 
[   ]ckb-next-0.6.1-r0.apk2025-03-10 18:16 1.3M 
[   ]ckb-next-daemon-0.6.1-r0.apk2025-03-10 18:16 77K 
[   ]ckb-next-daemon-openrc-0.6.1-r0.apk2025-03-10 18:16 1.8K 
[   ]ckb-next-dev-0.6.1-r0.apk2025-03-10 18:16 4.9K 
[   ]clatd-1.6-r0.apk2024-10-25 20:06 13K 
[   ]clementine-1.4.1_git20250121-r1.apk2025-02-17 12:07 5.9M 
[   ]clevis-21-r0.apk2025-01-25 07:03 51K 
[   ]clevis-bash-completion-21-r0.apk2025-01-25 07:03 2.0K 
[   ]clevis-dbg-21-r0.apk2025-01-25 07:03 62K 
[   ]clevis-doc-21-r0.apk2025-01-25 07:03 23K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 20:06 4.6K 
[   ]click-0.5.2-r4.apk2025-02-17 12:07 155K 
[   ]click-dev-0.5.2-r4.apk2025-02-17 12:07 9.1K 
[   ]click-doc-0.5.2-r4.apk2025-02-17 12:07 3.3K 
[   ]click-pyc-0.5.2-r4.apk2025-02-17 12:07 175K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 20:06 45K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 20:06 6.5K 
[   ]cliphist-0.6.1-r2.apk2025-02-10 01:32 899K 
[   ]cliphist-fzf-0.6.1-r2.apk2025-02-10 01:32 1.7K 
[   ]clipit-1.4.5-r3.apk2024-10-25 20:06 65K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 20:06 2.4K 
[   ]cliquer-1.22-r2.apk2024-10-25 20:06 7.2K 
[   ]cliquer-dev-1.22-r2.apk2024-10-25 20:06 7.4K 
[   ]cliquer-libs-1.22-r2.apk2024-10-25 20:06 23K 
[   ]cliquer-static-1.22-r2.apk2024-10-25 20:06 25K 
[   ]cliquer-tests-1.22-r2.apk2024-10-25 20:06 24K 
[   ]cln-1.3.7-r0.apk2024-10-25 20:06 441K 
[   ]cln-dev-1.3.7-r0.apk2024-10-25 20:06 1.2M 
[   ]cln-doc-1.3.7-r0.apk2024-10-25 20:06 78K 
[   ]cloudflared-2024.12.1-r2.apk2025-02-10 01:32 8.6M 
[   ]cloudflared-doc-2024.12.1-r2.apk2025-02-10 01:32 1.8K 
[   ]cloudflared-openrc-2024.12.1-r2.apk2025-02-10 01:32 1.7K 
[   ]cloudfoundry-cli-8.7.9-r6.apk2025-02-10 01:32 8.3M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 20:06 257K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 20:06 1.0M 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 20:06 33K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 20:06 114K 
[   ]cmusfm-0.5.0-r0.apk2024-10-25 20:06 14K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 20:06 6.8M 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 20:06 2.9K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 20:06 16K 
[   ]cocogitto-6.2.0-r1.apk2024-12-12 21:08 2.1M 
[   ]cocogitto-bash-completion-6.2.0-r1.apk2024-12-12 21:08 3.0K 
[   ]cocogitto-doc-6.2.0-r1.apk2024-12-12 21:08 36K 
[   ]cocogitto-fish-completion-6.2.0-r1.apk2024-12-12 21:08 3.3K 
[   ]cocogitto-zsh-completion-6.2.0-r1.apk2024-12-12 21:08 3.0K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:36 366K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:36 8.0K 
[   ]codeberg-cli-0.4.9-r0.apk2025-03-10 21:24 2.0M 
[   ]codeberg-cli-bash-completion-0.4.9-r0.apk2025-03-10 21:24 4.9K 
[   ]codeberg-cli-fish-completion-0.4.9-r0.apk2025-03-10 21:24 4.8K 
[   ]codeberg-cli-zsh-completion-0.4.9-r0.apk2025-03-10 21:24 6.7K 
[   ]coin-4.0.3-r0.apk2024-12-13 20:41 2.6M 
[   ]coin-dev-4.0.3-r0.apk2024-12-13 20:41 326K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 20:06 4.0K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 20:06 2.7K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 20:06 4.2K 
[   ]comics-downloader-0.33.8-r7.apk2025-02-10 01:32 3.5M 
[   ]comics-downloader-gui-0.33.8-r7.apk2025-02-10 01:32 5.2M 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 20:06 244K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 20:06 173K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 20:06 15K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 20:06 38K 
[   ]compiz-0.9.14.2-r8.apk2025-02-17 12:07 5.5M 
[   ]compiz-dev-0.9.14.2-r8.apk2025-02-17 12:07 117K 
[   ]compiz-lang-0.9.14.2-r8.apk2025-02-17 12:07 1.2M 
[   ]compiz-pyc-0.9.14.2-r8.apk2025-02-17 12:07 111K 
[   ]compiz-utils-0.9.14.2-r8.apk2025-02-17 12:07 3.3K 
[   ]conntracct-0.2.7-r28.apk2025-02-10 01:32 4.6M 
[   ]conntracct-openrc-0.2.7-r28.apk2025-02-10 01:32 1.9K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 20:06 9.2K 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 20:06 4.7K 
[   ]consul-replicate-0.4.0-r28.apk2025-02-10 01:32 2.6M 
[   ]contractor-0.3.5-r0.apk2024-11-12 21:54 24K 
[   ]convert2json-1.1.2-r0.apk2024-11-30 11:18 2.3M 
[   ]convert2json-doc-1.1.2-r0.apk2024-11-30 11:18 11K 
[   ]cortex-tenant-1.15.2-r3.apk2025-02-10 01:32 3.9M 
[   ]cortex-tenant-openrc-1.15.2-r3.apk2025-02-10 01:32 2.0K 
[   ]cosmic-icons-1.0.0_alpha6-r0.apk2025-03-09 00:43 231K 
[   ]cowsay-3.04-r2.apk2024-10-25 20:06 18K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 20:06 4.0K 
[   ]coxeter-3.0-r1.apk2024-10-25 20:06 48K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 20:06 57K 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 20:06 286K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 20:06 6.8K 
[   ]cpplint-2.0.0-r0.apk2024-11-21 19:11 77K 
[   ]cpplint-pyc-2.0.0-r0.apk2024-11-21 19:11 96K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-25 20:06 11K 
[   ]cpufetch-1.06-r0.apk2024-10-25 20:06 44K 
[   ]cpufetch-doc-1.06-r0.apk2024-10-25 20:06 3.1K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 20:06 30K 
[   ]create-tauri-app-4.5.9-r0.apk2024-12-25 22:07 646K 
[   ]create-tauri-app-doc-4.5.9-r0.apk2024-12-25 22:07 6.1K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 20:06 47K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 20:06 2.9K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 20:06 31K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 20:06 8.7K 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 20:06 91K 
[   ]crispy-doom-7.0-r0.apk2024-10-25 20:06 1.8M 
[   ]crispy-doom-doc-7.0-r0.apk2024-10-25 20:06 107K 
[   ]critcl-3.3.1-r0.apk2024-10-25 20:06 430K 
[   ]critcl-doc-3.3.1-r0.apk2024-10-25 20:06 787K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 20:06 30K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 20:06 39K 
[   ]crosstool-ng-1.27.0-r0.apk2025-02-06 04:42 2.1M 
[   ]crosstool-ng-bash-completion-1.27.0-r0.apk2025-02-06 04:42 2.1K 
[   ]crosstool-ng-doc-1.27.0-r0.apk2025-02-06 04:42 33K 
[   ]crowdsec-1.6.5-r0.apk2025-02-17 12:07 33M 
[   ]crowdsec-email-plugin-1.6.5-r0.apk2025-02-17 12:07 7.9M 
[   ]crowdsec-http-plugin-1.6.5-r0.apk2025-02-17 12:07 7.9M 
[   ]crowdsec-openrc-1.6.5-r0.apk2025-02-17 12:07 1.7K 
[   ]crowdsec-sentinel-plugin-1.6.5-r0.apk2025-02-17 12:07 7.9M 
[   ]crowdsec-slack-plugin-1.6.5-r0.apk2025-02-17 12:07 7.9M 
[   ]crowdsec-splunk-plugin-1.6.5-r0.apk2025-02-17 12:07 7.9M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:34 1.1M 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:34 13K 
[   ]cscope-15.9-r1.apk2024-10-25 20:06 153K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 20:06 7.5K 
[   ]csfml-2.5.2-r0.apk2024-10-25 20:06 89K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 20:06 77K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 20:06 204K 
[   ]csmith-2.3.0-r2.apk2024-10-25 20:06 301K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 20:06 3.1K 
[   ]csol-1.6.0-r0.apk2024-10-25 20:06 36K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 20:06 3.8K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 20:06 89K 
[   ]cups-pdf-3.0.1-r2.apk2024-10-25 20:06 22K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 20:06 26K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 20:06 6.1K 
[   ]curtail-1.11.1-r0.apk2024-10-25 20:06 27K 
[   ]curtail-lang-1.11.1-r0.apk2024-10-25 20:06 66K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 20:06 1.1M 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 20:06 329K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 20:06 6.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 20:06 3.5K 
[   ]cvise-2.11.0-r0.apk2025-03-08 13:13 4.6M 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 13:13 60K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 20:06 48K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 20:06 17K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 20:06 8.6K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 20:06 6.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 20:06 2.3K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 20:06 6.7K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 20:06 219K 
[   ]daemontools-0.76-r3.apk2024-10-25 20:06 51K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 20:06 2.0K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 20:06 1.8M 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 20:06 2.2K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 20:06 8.7K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 20:06 1.9K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 20:06 2.3K 
[   ]darts-clone-0_git20181117-r0.apk2024-10-25 20:06 34K 
[   ]darts-clone-dev-0_git20181117-r0.apk2024-10-25 20:06 13K 
[   ]dasht-2.4.0-r0.apk2024-10-25 20:06 14K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 20:06 11K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 20:06 2.1K 
[   ]dbmate-2.16.0-r2.apk2025-02-10 01:32 9.6M 
[   ]dbmate-doc-2.16.0-r2.apk2025-02-10 01:32 2.2K 
[   ]dbus-broker-36-r0.apk2024-10-25 20:06 84K 
[   ]dbus-broker-doc-36-r0.apk2024-10-25 20:06 5.8K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 20:06 28K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 20:06 6.6K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 20:06 62K 
[   ]ddcci-driver-linux-src-0.4.5-r1.apk2025-01-09 11:45 19K 
[   ]ddgr-2.2-r0.apk2024-10-25 20:06 20K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 20:06 2.2K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 20:06 12K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 20:06 2.3K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 20:06 2.7K 
[   ]ddnrs-0.3.0-r0.apk2024-10-25 20:06 1.0M 
[   ]ddnrs-openrc-0.3.0-r0.apk2024-10-25 20:06 2.0K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 20:06 11K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 20:06 5.3K 
[   ]debconf-1.5.82-r0.apk2024-10-25 20:06 69K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 20:06 1.9K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 20:06 27K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 20:06 132K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 20:06 6.7K 
[   ]decoder-0.6.1-r0.apk2024-10-25 20:06 2.0M 
[   ]decoder-lang-0.6.1-r0.apk2024-10-25 20:06 59K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 20:06 26K 
[   ]desed-1.2.1-r1.apk2024-10-25 20:06 400K 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 20:06 2.9K 
[   ]desync-0.9.6-r2.apk2025-02-10 01:32 7.1M 
[   ]detox-2.0.0-r0.apk2024-10-25 20:06 111K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 20:06 21K 
[   ]devil-1.8.0-r0.apk2024-10-25 20:06 228K 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 20:06 13K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 20:06 1.1M 
[   ]dfl-applications-0.2.0-r0.apk2024-10-25 20:06 20K 
[   ]dfl-applications-dev-0.2.0-r0.apk2024-10-25 20:06 4.1K 
[   ]dfl-ipc-0.2.0-r0.apk2024-10-25 20:06 19K 
[   ]dfl-ipc-dev-0.2.0-r0.apk2024-10-25 20:06 3.6K 
[   ]dfl-login1-0.2.0-r0.apk2024-10-25 20:06 16K 
[   ]dfl-login1-dev-0.2.0-r0.apk2024-10-25 20:06 3.4K 
[   ]dfl-sni-0.2.0-r0.apk2024-10-25 20:06 29K 
[   ]dfl-sni-dev-0.2.0-r0.apk2024-10-25 20:06 4.1K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 20:06 37K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 20:06 2.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 20:06 5.7K 
[   ]dhewm3-1.5.4-r0.apk2025-02-17 12:07 5.0M 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:49 334K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:49 18K 
[   ]disfetch-3.7-r0.apk2024-10-25 20:06 8.3K 
[   ]diskus-0.7.0-r2.apk2024-10-25 20:06 335K 
[   ]dislocker-0.7.3-r5.apk2024-10-25 20:06 12K 
[   ]dislocker-doc-0.7.3-r5.apk2024-10-25 20:06 6.1K 
[   ]dislocker-libs-0.7.3-r5.apk2024-10-25 20:06 45K 
[   ]dissent-0.0.32-r2.apk2025-02-23 22:14 15M 
[   ]dive-0.12.0-r2.apk2025-02-10 01:32 3.9M 
[   ]dived-1.9.0-r0.apk2024-10-25 20:06 22K 
[   ]dived-doc-1.9.0-r0.apk2024-10-25 20:06 11K 
[   ]dlib-19.24.4-r0.apk2024-10-25 20:06 755K 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 20:06 2.4M 
[   ]dmarc-cat-0.15.0-r2.apk2025-02-10 01:32 2.3M 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:04 25K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:04 1.9K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:04 46K 
[   ]dnscontrol-4.17.0-r0.apk2025-03-10 21:20 14M 
[   ]dnscontrol-doc-4.17.0-r0.apk2025-03-10 21:20 2.2K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 20:06 29K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 20:06 21K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 20:06 5.2K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 20:06 79K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 20:06 35K 
[   ]dnssec-tools-2.2.3-r12.apk2024-10-25 20:06 748K 
[   ]dnssec-tools-dev-2.2.3-r12.apk2024-10-25 20:06 181K 
[   ]dnssec-tools-doc-2.2.3-r12.apk2024-10-25 20:06 315K 
[   ]doasedit-1.0.7-r0.apk2024-10-25 20:06 3.6K 
[   ]docker-auth-1.13.0-r1.apk2025-02-10 01:32 9.4M 
[   ]docker-auth-doc-1.13.0-r1.apk2025-02-10 01:32 10K 
[   ]docker-auth-openrc-1.13.0-r1.apk2025-02-10 01:32 2.0K 
[   ]docker-volume-local-persist-1.3.0-r30.apk2025-02-10 01:32 2.3M 
[   ]docker-volume-local-persist-openrc-1.3.0-r30.apk2025-02-10 01:32 1.7K 
[   ]dockerize-0.9.0-r2.apk2025-02-10 01:32 3.0M 
[   ]dodo-0_git20241007-r0.apk2024-10-25 20:06 186K 
[   ]dodo-pyc-0_git20241007-r0.apk2024-10-25 20:06 86K 
[   ]dooit-3.1.0-r0.apk2024-12-07 20:22 45K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:22 13K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:22 23K 
[   ]dooit-pyc-3.1.0-r0.apk2024-12-07 20:22 100K 
[   ]dotenv-linter-3.3.0-r1.apk2024-10-25 20:06 821K 
[   ]downloader-cli-0.3.4-r1.apk2024-10-25 20:06 2.0K 
[   ]draco-1.5.7-r2.apk2025-02-17 12:07 780K 
[   ]draco-dev-1.5.7-r2.apk2025-02-17 12:07 205K 
[   ]draco-static-1.5.7-r2.apk2025-02-17 12:07 1.6M 
[   ]draco-tools-1.5.7-r2.apk2025-02-17 12:07 1.2M 
[   ]draw-0.1.1-r10.apk2025-02-10 01:32 953K 
[   ]drawing-1.0.2-r0.apk2024-10-25 20:06 3.2M 
[   ]drawing-lang-1.0.2-r0.apk2024-10-25 20:06 272K 
[   ]drogon-1.9.4-r1.apk2024-10-25 20:06 1.4M 
[   ]drogon-dev-1.9.4-r1.apk2024-10-25 20:06 125K 
[   ]drogon-doc-1.9.4-r1.apk2024-10-25 20:06 2.3K 
[   ]droidcam-2.1.3-r1.apk2024-11-08 21:25 18K 
[   ]droidcam-gui-2.1.3-r1.apk2024-11-08 21:25 27K 
[   ]drone-cli-1.8.0-r7.apk2025-02-10 01:32 5.2M 
[   ]dropwatch-1.5.4-r7.apk2025-02-10 23:18 15K 
[   ]dropwatch-doc-1.5.4-r7.apk2025-02-10 23:18 3.6K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 20:06 379K 
[   ]drupal7-7.103-r0.apk2024-12-04 17:28 3.3M 
[   ]drupal7-doc-7.103-r0.apk2024-12-04 17:28 57K 
[   ]dsnet-0.7.3-r8.apk2025-02-10 01:32 3.6M 
[   ]dsnet-doc-0.7.3-r8.apk2025-02-10 01:32 9.1K 
[   ]dsp-1.9-r2.apk2024-10-25 20:06 92K 
[   ]dsp-doc-1.9-r2.apk2024-10-25 20:06 7.2K 
[   ]dstask-0.26-r11.apk2025-02-10 01:32 1.3M 
[   ]dstask-bash-completion-0.26-r11.apk2025-02-10 01:32 2.1K 
[   ]dstask-import-0.26-r11.apk2025-02-10 01:32 3.1M 
[   ]dstask-zsh-completion-0.26-r11.apk2025-02-10 01:32 1.6K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 20:06 45K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 20:06 2.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 20:06 6.9K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 20:06 2.3K 
[   ]duc-1.4.5-r0.apk2024-10-25 20:06 86K 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 20:06 9.1K 
[   ]duf-0.8.1-r23.apk2025-02-10 01:32 1.0M 
[   ]dufs-0.43.0-r0.apk2025-01-25 07:03 1.4M 
[   ]dufs-bash-completion-0.43.0-r0.apk2025-01-25 07:03 2.3K 
[   ]dufs-doc-0.43.0-r0.apk2025-01-25 07:03 10K 
[   ]dufs-fish-completion-0.43.0-r0.apk2025-01-25 07:03 2.4K 
[   ]dufs-zsh-completion-0.43.0-r0.apk2025-01-25 07:03 2.7K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 20:06 20K 
[   ]dum-0.1.19-r1.apk2024-10-25 20:06 232K 
[   ]dumb_runtime_dir-1.0.4-r3.apk2024-10-25 20:06 3.5K 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 20:06 796K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 20:06 5.1M 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 20:06 17K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 20:06 7.6K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 20:06 1.4K 
[   ]dwl-0.7-r0.apk2024-10-25 20:06 26K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 20:06 3.1K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 773K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 380K 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 20:06 4.2K 
[   ]eboard-1.1.3-r1.apk2024-10-25 20:06 1.4M 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 20:06 4.6K 
[   ]ecasound-2.9.3-r4.apk2025-02-25 10:17 653K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-25 10:17 1.2M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-25 10:17 38K 
[   ]ecm-7.0.5-r1.apk2024-10-25 20:06 124K 
[   ]ecm-dev-7.0.5-r1.apk2024-10-25 20:06 4.9K 
[   ]ecm-doc-7.0.5-r1.apk2024-10-25 20:06 7.2K 
[   ]ecos-2.0.10-r0.apk2024-10-25 20:06 38K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 20:06 28K 
[   ]edam-1.0.2-r0.apk2025-01-07 16:40 32K 
[   ]edam-doc-1.0.2-r0.apk2025-01-07 16:40 8.3K 
[   ]edward-1.1.0-r0.apk2024-10-25 20:06 1.9M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 20:06 5.3K 
[   ]efl-1.27.0-r1.apk2024-10-25 20:06 34M 
[   ]efl-dev-1.27.0-r1.apk2024-10-25 20:06 1.9M 
[   ]efl-gdb-1.27.0-r1.apk2024-10-25 20:06 1.8K 
[   ]eiwd-2.22-r0.apk2024-10-25 20:06 767K 
[   ]eiwd-doc-2.22-r0.apk2024-10-25 20:06 20K 
[   ]eiwd-openrc-2.22-r0.apk2024-10-25 20:06 1.8K 
[   ]elementary-calculator-8.0.0-r0.apk2024-10-28 22:05 69K 
[   ]elementary-calculator-lang-8.0.0-r0.apk2024-10-28 22:05 57K 
[   ]elementary-camera-8.0.0-r0.apk2024-10-27 14:20 83K 
[   ]elementary-camera-lang-8.0.0-r0.apk2024-10-27 14:20 34K 
[   ]elementary-feedback-8.0.0-r0.apk2024-10-27 14:17 42K 
[   ]elementary-feedback-lang-8.0.0-r0.apk2024-10-27 14:17 43K 
[   ]elementary-icon-theme-8.0.0-r0.apk2024-10-25 20:06 5.0M 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:05 71K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:05 47K 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 22:59 1.1M 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 22:59 1.0M 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:07 83K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:36 1.5M 
[   ]elementary-videos-8.0.1-r0.apk2024-11-10 22:06 106K 
[   ]elementary-videos-lang-8.0.1-r0.apk2024-11-10 22:06 85K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 20:06 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 20:06 108K 
[   ]elfio-3.12-r0.apk2024-10-25 20:06 1.4K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 20:06 55K 
[   ]eludris-0.3.3-r1.apk2024-10-25 20:06 1.7M 
[   ]eludris-doc-0.3.3-r1.apk2024-10-25 20:06 2.3K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 20:06 23K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 20:06 43K 
[   ]emacs-avy-embark-collect-1.0_git20240327-r0.apk2024-10-25 20:06 3.9K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 20:06 55K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 20:06 14K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 20:06 138K 
[   ]emacs-derl-0_git20231004-r0.apk2024-10-25 20:06 23K 
[   ]emacs-elfeed-3.4.1_git20240326-r0.apk2024-10-25 20:06 91K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 20:06 23K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 20:06 6.1K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 20:06 5.9K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 20:06 17K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 20:06 4.3K 
[   ]emacs-embark-1.0_git20240327-r0.apk2024-10-25 20:06 110K 
[   ]emacs-embark-consult-1.0_git20240327-r0.apk2024-10-25 20:06 10K 
[   ]emacs-ement-0.15.1-r0.apk2024-10-25 20:06 287K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 20:06 37K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 20:06 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 20:06 62K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 20:06 16K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 20:06 815K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 20:06 9.8K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 20:06 46K 
[   ]emacs-llama-0.3.1_git20240722-r0.apk2024-10-25 20:06 9.9K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 20:06 6.6K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 20:06 29K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 20:06 18K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 20:06 19K 
[   ]emacs-taxy-0.10.1-r0.apk2024-10-25 20:06 11K 
[   ]emacs-taxy-magit-section-0.13-r0.apk2024-10-25 20:06 17K 
[   ]empede-0.2.3-r0.apk2024-10-25 20:06 1.7M 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 20:06 2.3K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 20:06 1.9K 
[   ]emulationstation-2.11.2-r1.apk2025-03-11 17:54 1.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2025-03-11 17:54 3.2M 
[   ]endeavour-43.0-r2.apk2024-12-08 21:40 178K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:40 46K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:40 68K 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:40 203K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 20:06 242M 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 20:06 37K 
[   ]endlessh-1.1-r0.apk2024-10-25 20:06 9.3K 
[   ]endlessh-doc-1.1-r0.apk2024-10-25 20:06 2.4K 
[   ]enjoy-0.3-r1.apk2024-10-25 20:06 11K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 20:06 7.3K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 20:06 3.5K 
[   ]envconsul-0.13.3-r0.apk2025-02-13 07:27 4.5M 
[   ]envsubst-0.1-r1.apk2024-10-25 20:06 4.2K 
[   ]epoch-1.3.0-r2.apk2024-10-25 20:06 61K 
[   ]epr-2.4.15-r1.apk2024-10-25 20:06 16K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 20:06 24K 
[   ]ergo-ldap-0.0.1-r14.apk2025-02-10 01:32 2.0M 
[   ]ergo-ldap-doc-0.0.1-r14.apk2025-02-10 01:32 2.2K 
[   ]errands-46.2.7-r0.apk2025-01-31 14:30 85K 
[   ]errands-lang-46.2.7-r0.apk2025-01-31 14:30 71K 
[   ]espeakup-0.90-r2.apk2024-10-25 20:06 11K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 20:06 1.8K 
[   ]esptool-4.8.1-r0.apk2024-10-25 20:06 424K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 20:06 549K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 20:06 560K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 20:06 45K 
[   ]eva-0.3.1-r2.apk2024-10-25 20:06 623K 
[   ]evolution-on-3.24.4-r0.apk2024-10-30 14:24 10K 
[   ]exabgp-4.2.22-r0.apk2024-11-30 10:47 437K 
[   ]exabgp-doc-4.2.22-r0.apk2024-11-30 10:47 8.0K 
[   ]exabgp-openrc-4.2.22-r0.apk2024-11-30 10:47 2.2K 
[   ]exabgp-pyc-4.2.22-r0.apk2024-11-30 10:47 892K 
[   ]exercism-3.2.0-r9.apk2025-02-10 01:32 3.8M 
[   ]exercism-bash-completion-3.2.0-r9.apk2025-02-10 01:32 1.9K 
[   ]exercism-fish-completion-3.2.0-r9.apk2025-02-10 01:32 2.3K 
[   ]exercism-zsh-completion-3.2.0-r9.apk2025-02-10 01:32 2.1K 
[   ]extrace-0.9-r0.apk2024-10-25 20:06 10K 
[   ]extrace-doc-0.9-r0.apk2024-10-25 20:06 3.5K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 20:06 40M 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 20:06 6.7K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 20:06 42K 
[   ]f_scripts-0.6-r1.apk2024-10-25 20:06 1.4K 
[   ]f_scripts-f_audio-0.6-r1.apk2024-10-25 20:06 3.3K 
[   ]f_scripts-f_files-0.6-r1.apk2024-10-25 20:06 2.9K 
[   ]f_scripts-f_game-0.6-r1.apk2024-10-25 20:06 1.9K 
[   ]f_scripts-f_maps-0.6-r1.apk2024-10-25 20:06 2.1K 
[   ]f_scripts-f_networks-0.6-r1.apk2024-10-25 20:06 3.0K 
[   ]f_scripts-f_phone-0.6-r1.apk2024-10-25 20:06 6.0K 
[   ]f_scripts-f_rss-0.6-r1.apk2024-10-25 20:06 2.5K 
[   ]f_scripts-f_theme-0.6-r1.apk2024-10-25 20:06 2.6K 
[   ]f_scripts-f_timer-0.6-r1.apk2024-10-25 20:06 2.4K 
[   ]f_scripts-f_web-0.6-r1.apk2024-10-25 20:06 2.9K 
[   ]f_scripts-f_youtube-0.6-r1.apk2024-10-25 20:06 2.8K 
[   ]fabric-3.2.2-r1.apk2024-10-25 20:06 55K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 20:06 60K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 20:06 30K 
[   ]fast_float-5.2.0-r1.apk2024-10-25 20:06 43K 
[   ]fastd-23-r0.apk2025-01-27 21:33 71K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:33 3.3K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:33 1.7K 
[   ]fatback-1.3-r2.apk2024-10-25 20:06 27K 
[   ]fatback-doc-1.3-r2.apk2024-10-25 20:06 16K 
[   ]fathom-1.3.1-r10.apk2025-02-10 01:32 4.4M 
[   ]fatrace-0.17.0-r0.apk2024-10-25 20:06 10K 
[   ]fatrace-doc-0.17.0-r0.apk2024-10-25 20:06 3.3K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 20:06 8.9K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 20:06 15K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 20:06 13K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 20:06 2.3K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 20:06 3.0K 
[   ]faust-2.60.3-r2.apk2024-10-25 20:06 8.0M 
[   ]faust-dev-2.60.3-r2.apk2024-10-25 20:06 771K 
[   ]faust-doc-2.60.3-r2.apk2024-10-25 20:06 17M 
[   ]faust-static-2.60.3-r2.apk2024-10-25 20:06 542K 
[   ]faust-tools-2.60.3-r2.apk2024-10-25 20:06 119K 
[   ]faust-vim-2.60.3-r2.apk2024-10-25 20:06 2.6K 
[   ]fava-1.28-r0.apk2024-10-25 20:06 1.1M 
[   ]fava-pyc-1.28-r0.apk2024-10-25 20:06 164K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 20:06 4.3K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 20:06 2.2K 
[   ]fbvnc-0_git20220812-r0.apk2024-10-25 20:06 9.4K 
[   ]fceux-2.6.6-r2.apk2024-10-25 20:06 2.9M 
[   ]fceux-doc-2.6.6-r2.apk2024-10-25 20:06 106K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 20:06 60K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 20:06 682K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 20:06 463K 
[   ]felix-2.16.0-r0.apk2025-02-05 22:03 651K 
[   ]ff2mpv-rust-1.1.5-r0.apk2025-01-04 22:30 218K 
[   ]ff2mpv-rust-doc-1.1.5-r0.apk2025-01-04 22:30 14K 
[   ]fff-2.2-r0.apk2024-10-25 20:06 11K 
[   ]fff-doc-2.2-r0.apk2024-10-25 20:06 9.0K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 20:06 345K 
[   ]ffms2-5.0-r0.apk2024-10-25 20:06 72K 
[   ]ffms2-dev-5.0-r0.apk2024-10-25 20:06 7.6K 
[   ]ffms2-doc-5.0-r0.apk2024-10-25 20:06 30K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 20:06 1.5M 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 20:06 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 20:06 3.5K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 20:06 4.5K 
[   ]fheroes2-1.1.6-r0.apk2025-02-17 12:07 1.6M 
[   ]fheroes2-lang-1.1.6-r0.apk2025-02-17 12:07 1.7M 
[   ]fildesh-0.2.0-r0.apk2024-10-25 20:06 64K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 20:06 2.1K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 20:06 3.5K 
[   ]filebrowser-2.27.0-r8.apk2025-02-10 01:32 7.0M 
[   ]filebrowser-openrc-2.27.0-r8.apk2025-02-10 01:32 1.8K 
[   ]fileshelter-6.2.0-r3.apk2025-02-25 10:17 302K 
[   ]fileshelter-openrc-6.2.0-r3.apk2025-02-25 10:17 1.6K 
[   ]filite-0.3.0-r2.apk2024-10-25 20:06 1.1M 
[   ]findtow-0.1-r0.apk2024-10-25 20:06 4.5K 
[   ]finger-0.5-r0.apk2024-10-25 20:06 6.4K 
[   ]finger-doc-0.5-r0.apk2024-10-25 20:06 3.8K 
[   ]firehol-3.1.7-r2.apk2024-10-25 20:06 85K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 20:06 675K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 20:06 2.1K 
[   ]flann-1.9.2-r1.apk2025-02-17 12:07 1.5M 
[   ]flann-dev-1.9.2-r1.apk2025-02-17 12:07 1.3M 
[   ]flann-doc-1.9.2-r1.apk2025-02-17 12:07 2.5K 
[   ]flare-engine-1.14-r0.apk2024-10-25 20:06 4.4M 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 20:06 2.5K 
[   ]flare-game-1.14-r0.apk2024-10-25 20:06 2.2K 
[   ]flatpak-xdg-utils-1.0.6-r0.apk2024-10-25 20:06 19K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 20:06 4.3K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:06 1.2M 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:06 2.1K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:06 6.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:06 1.9K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:06 2.2K 
[   ]flint-2.9.0-r2.apk2024-10-25 20:06 4.5M 
[   ]flint-dev-2.9.0-r2.apk2024-10-25 20:06 311K 
[   ]flintqs-1.0-r1.apk2024-10-25 20:06 22K 
[   ]flowd-0.9.1-r10.apk2024-10-25 20:06 84K 
[   ]flowd-dev-0.9.1-r10.apk2024-10-25 20:06 8.3K 
[   ]flowd-doc-0.9.1-r10.apk2024-10-25 20:06 10K 
[   ]flowd-openrc-0.9.1-r10.apk2024-10-25 20:06 1.9K 
[   ]fnf-0.1-r0.apk2024-10-25 20:06 15K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 20:06 4.6K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 20:06 306K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 20:06 8.5K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 20:06 264K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 20:06 18M 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 20:06 792K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 20:06 626K 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 20:06 249K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 20:06 1.0M 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 20:06 251K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 20:06 110K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 20:06 1.2K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 20:06 5.5K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 20:06 316K 
[   ]font-fira-4.202-r0.apk2024-10-25 20:06 1.2K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 20:06 836K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 20:06 145K 
[   ]font-fira-otf-4.202-r0.apk2024-10-25 20:06 7.4M 
[   ]font-fira-ttf-4.202-r0.apk2024-10-25 20:06 6.2M 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 20:06 118K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 20:06 205K 
[   ]font-hanazono-20170904-r1.apk2024-10-25 20:06 29M 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 20:06 281K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 20:06 852K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 20:06 652K 
[   ]font-monaspace-1.101-r0.apk2024-10-25 20:06 1.5K 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 20:06 2.2M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 20:06 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 20:06 2.1M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 20:06 2.7M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 20:06 2.3M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 20:06 677K 
[   ]font-openmoji-15.0.0-r0.apk2024-12-24 22:52 3.5M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 20:06 24K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 20:06 2.0M 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 20:06 430K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 20:06 62K 
[   ]font-teluguvijayam-20190525-r2.apk2024-10-25 20:06 3.7M 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 20:06 199K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 20:06 568K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 20:06 58K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 20:06 33K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 20:06 3.9K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 20:06 1.5K 
[   ]fox-1.6.57-r0.apk2024-10-25 20:06 925K 
[   ]fox-adie-1.6.57-r0.apk2024-10-25 20:06 118K 
[   ]fox-calculator-1.6.57-r0.apk2024-10-25 20:06 30K 
[   ]fox-dev-1.6.57-r0.apk2024-10-25 20:06 1.6M 
[   ]fox-doc-1.6.57-r0.apk2024-10-25 20:06 2.0M 
[   ]fox-pathfinder-1.6.57-r0.apk2024-10-25 20:06 48K 
[   ]fox-shutterbug-1.6.57-r0.apk2024-10-25 20:06 18K 
[   ]fox-utils-1.6.57-r0.apk2024-10-25 20:06 6.6K 
[   ]fpc-3.2.2-r4.apk2024-10-25 20:06 69M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-25 20:06 1.2M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 20:06 6.2M 
[   ]fplll-5.5.0-r0.apk2024-11-19 22:34 46K 
[   ]fplll-dev-5.5.0-r0.apk2024-11-19 22:34 78K 
[   ]fplll-libs-5.5.0-r0.apk2024-11-19 22:34 6.1M 
[   ]fplll-static-5.5.0-r0.apk2024-11-19 22:34 7.8M 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-19 22:34 1.7M 
[   ]fpp-0.9.5-r0.apk2024-10-25 20:06 29K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 20:06 5.6K 
[   ]fq-0.13.0-r2.apk2025-02-10 01:32 4.4M 
[   ]freealut-1.1.0-r1.apk2024-10-25 20:06 17K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 20:06 22K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 20:06 9.6K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 20:06 54K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 20:06 450K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 20:06 195K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 20:06 104K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 20:06 3.5M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 20:06 2.5K 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 20:06 1.2M 
[   ]freshrss-1.23.1-r1.apk2024-10-25 20:06 1.5M 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 20:06 751K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 20:06 379K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 20:06 1.4K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 20:06 2.5K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 20:06 1.4K 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 20:06 1.4K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 20:06 1.5M 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 20:06 855K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 20:06 7.9K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 20:06 22K 
[   ]fungw-1.2.1-r0.apk2024-12-30 09:48 12K 
[   ]fungw-c-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-cli-1.2.1-r0.apk2024-12-30 09:48 22K 
[   ]fungw-dev-1.2.1-r0.apk2024-12-30 09:48 7.6K 
[   ]fungw-doc-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-duktape-1.2.1-r0.apk2024-12-30 09:48 15K 
[   ]fungw-fawk-1.2.1-r0.apk2024-12-30 09:48 104K 
[   ]fungw-lua-1.2.1-r0.apk2024-12-30 09:48 13K 
[   ]fungw-mujs-1.2.1-r0.apk2024-12-30 09:48 14K 
[   ]fungw-perl-1.2.1-r0.apk2024-12-30 09:48 46K 
[   ]fungw-python3-1.2.1-r0.apk2024-12-30 09:48 25K 
[   ]fungw-tcl-1.2.1-r0.apk2024-12-30 09:48 12K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 20:06 21K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 20:06 1.7K 
[   ]fusesoc-2.3-r0.apk2024-10-25 20:06 46K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 20:06 89K 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 20:06 5.5M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 20:06 1.1M 
[   ]fyi-1.0.4-r0.apk2024-10-25 20:06 9.8K 
[   ]fyi-bash-completion-1.0.4-r0.apk2024-10-25 20:06 1.8K 
[   ]fyi-doc-1.0.4-r0.apk2024-10-25 20:06 7.0K 
[   ]fyi-fish-completion-1.0.4-r0.apk2024-10-25 20:06 2.2K 
[   ]fzy-1.0-r3.apk2024-10-25 20:06 12K 
[   ]fzy-doc-1.0-r3.apk2024-10-25 20:06 2.7K 
[   ]gambit-4.9.5-r0.apk2024-10-25 20:06 7.5M 
[   ]gambit-dev-4.9.5-r0.apk2024-10-25 20:06 6.7M 
[   ]gambit-doc-4.9.5-r0.apk2024-10-25 20:06 4.3K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 10:58 6.2K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:21 73K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:21 5.1K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:21 7.5K 
[   ]gamescope-3.16.2-r0.apk2025-03-02 16:47 1.1M 
[   ]gamja-1.0.0_beta9-r0.apk2024-10-25 20:06 599K 
[   ]gamja-doc-1.0.0_beta9-r0.apk2024-10-25 20:06 2.2K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 20:06 89K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 20:06 14K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 20:06 78K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 20:06 17K 
[   ]gatling-0.16-r6.apk2024-10-25 20:06 151K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 20:06 9.1K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 20:06 2.8K 
[   ]gaupol-1.12-r2.apk2024-10-25 20:06 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 20:06 2.4K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 20:06 277K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 20:06 419K 
[   ]gb-0.4.4-r28.apk2025-02-10 01:32 6.5M 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:29 117K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:29 28K 
[   ]gdb-dashboard-0.17.4-r0.apk2025-03-01 21:53 23K 
[   ]gdb-dashboard-doc-0.17.4-r0.apk2025-03-01 21:53 3.0K 
[   ]gearman-dev-1.1.21-r1.apk2024-10-25 20:06 1.0M 
[   ]gearman-libs-1.1.21-r1.apk2024-10-25 20:06 71K 
[   ]gearmand-1.1.21-r1.apk2024-10-25 20:06 165K 
[   ]gearmand-doc-1.1.21-r1.apk2024-10-25 20:06 190K 
[   ]gearmand-openrc-1.1.21-r1.apk2024-10-25 20:06 1.8K 
[   ]gede-2.18.2-r1.apk2024-10-25 20:06 254K 
[   ]genact-1.4.2-r0.apk2024-10-25 20:06 1.4M 
[   ]geoclue-stumbler-0.2.0-r0.apk2024-12-31 12:56 27K 
[   ]geodns-3.3.0-r10.apk2025-02-10 01:32 4.5M 
[   ]geodns-logs-3.3.0-r10.apk2025-02-10 01:32 4.0M 
[   ]geodns-openrc-3.3.0-r10.apk2025-02-10 01:32 1.7K 
[   ]geomyidae-0.34-r2.apk2024-10-25 20:06 13K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 20:06 7.7K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 20:06 2.0K 
[   ]geonames-0.3.1-r2.apk2024-10-25 20:06 827K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 20:06 3.0K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 20:06 13K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 20:06 4.6M 
[   ]getmail6-6.19.07-r0.apk2025-01-26 15:48 71K 
[   ]getmail6-doc-6.19.07-r0.apk2025-01-26 15:48 137K 
[   ]getmail6-pyc-6.19.07-r0.apk2025-01-26 15:48 105K 
[   ]getssl-2.48-r0.apk2024-10-25 20:06 82K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:40 715K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:40 497K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:40 229K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 20:06 37K 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 20:06 58K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 20:06 39K 
[   ]ghostcloud-0.9.9.5-r2.apk2024-10-25 20:06 425K 
[   ]ghq-1.7.1-r2.apk2025-02-10 01:32 3.4M 
[   ]ghq-bash-completion-1.7.1-r2.apk2025-02-10 01:32 1.7K 
[   ]ghq-doc-1.7.1-r2.apk2025-02-10 01:32 5.4K 
[   ]ghq-fish-completion-1.7.1-r2.apk2025-02-10 01:32 2.4K 
[   ]ghq-zsh-completion-1.7.1-r2.apk2025-02-10 01:32 2.4K 
[   ]gimp-plugin-gmic-3.3.5-r1.apk2024-11-21 22:50 1.2M 
[   ]ginac-1.8.7-r1.apk2024-10-25 20:06 1.0M 
[   ]ginac-dev-1.8.7-r1.apk2024-10-25 20:06 70K 
[   ]ginac-doc-1.8.7-r1.apk2024-10-25 20:06 98K 
[   ]ginger-2.4.0-r7.apk2024-10-25 20:06 257K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 20:06 125K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 20:06 207K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 20:06 195K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 20:06 53K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 20:06 61K 
[   ]git-bug-0.8.0-r16.apk2025-02-10 01:32 8.7M 
[   ]git-bug-bash-completion-0.8.0-r16.apk2025-02-10 01:32 5.1K 
[   ]git-bug-doc-0.8.0-r16.apk2025-02-10 01:32 17K 
[   ]git-bug-fish-completion-0.8.0-r16.apk2025-02-10 01:32 3.9K 
[   ]git-bug-zsh-completion-0.8.0-r16.apk2025-02-10 01:32 3.9K 
[   ]git-extras-7.3.0-r0.apk2024-11-19 22:34 55K 
[   ]git-extras-bash-completion-7.3.0-r0.apk2024-11-19 22:34 2.8K 
[   ]git-extras-doc-7.3.0-r0.apk2024-11-19 22:34 63K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:38 862K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:38 6.2K 
[   ]git-quick-stats-2.5.8-r0.apk2024-10-25 20:06 12K 
[   ]git-quick-stats-doc-2.5.8-r0.apk2024-10-25 20:06 2.9K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 20:06 24K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 20:06 5.0K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 20:06 42K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 20:06 15K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 20:06 17K 
[   ]git2json-0.2.3-r8.apk2024-10-25 20:06 7.4K 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 20:06 5.7K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:36 367K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:36 17K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:36 19K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:36 379K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:36 52K 
[   ]gliderlabs-sigil-0.11.0-r2.apk2025-02-10 01:32 3.0M 
[   ]gliderlabs-sigil-doc-0.11.0-r2.apk2025-02-10 01:32 2.4K 
[   ]glmark2-2023.01-r1.apk2024-10-25 20:06 8.0M 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 20:06 13K 
[   ]gloox-1.0.28-r0.apk2024-10-25 20:06 352K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 20:06 928K 
[   ]glow-2.1.0-r0.apk2025-03-03 16:08 5.9M 
[   ]glow-bash-completion-2.1.0-r0.apk2025-03-03 16:08 6.0K 
[   ]glow-doc-2.1.0-r0.apk2025-03-03 16:08 3.1K 
[   ]glow-fish-completion-2.1.0-r0.apk2025-03-03 16:08 4.3K 
[   ]glow-zsh-completion-2.1.0-r0.apk2025-03-03 16:08 4.0K 
[   ]glslviewer-3.2.4-r1.apk2025-02-08 23:43 1.7M 
[   ]gmcapsule-0.9.7-r0.apk2025-01-08 19:12 36K 
[   ]gmcapsule-openrc-0.9.7-r0.apk2025-01-08 19:12 1.9K 
[   ]gmcapsule-pyc-0.9.7-r0.apk2025-01-08 19:12 61K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-17 12:07 34K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-17 12:07 4.1K 
[   ]gmic-3.3.5-r1.apk2024-11-21 22:50 11M 
[   ]gmic-bash-completion-3.3.5-r1.apk2024-11-21 22:50 28K 
[   ]gmic-dev-3.3.5-r1.apk2024-11-21 22:50 7.7K 
[   ]gmic-doc-3.3.5-r1.apk2024-11-21 22:50 219K 
[   ]gmic-libs-3.3.5-r1.apk2024-11-21 22:50 4.2M 
[   ]gmic-qt-3.3.5-r1.apk2024-11-21 22:50 1.6M 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 207K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 2.2K 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 20:06 12K 
[   ]gnome-latex-3.47.0-r2.apk2024-12-12 19:26 359K 
[   ]gnome-latex-doc-3.47.0-r2.apk2024-12-12 19:26 105K 
[   ]gnome-latex-lang-3.47.0-r2.apk2024-12-12 19:26 530K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 20:06 457K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 20:06 25K 
[   ]gnome-user-share-47.2-r0.apk2025-02-23 22:23 14K 
[   ]gnome-user-share-lang-47.2-r0.apk2025-02-23 22:23 66K 
[   ]gnu-apl-1.9-r0.apk2024-10-25 20:06 1.3M 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-25 20:06 585K 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-25 20:06 1.6M 
[   ]gnucash-5.10-r1.apk2025-02-17 12:07 8.2M 
[   ]gnucash-dev-5.10-r1.apk2025-02-17 12:07 302K 
[   ]gnucash-doc-5.10-r1.apk2025-02-17 12:07 1.4M 
[   ]gnucash-lang-5.10-r1.apk2025-02-17 12:07 8.2M 
[   ]go-jsonnet-0.20.0-r11.apk2025-02-10 01:32 5.8M 
[   ]go-mtpfs-1.0.0-r24.apk2025-02-10 01:32 1.1M 
[   ]go-passbolt-cli-0.3.2-r0.apk2025-03-09 22:31 6.0M 
[   ]goawk-1.29.1-r2.apk2025-02-10 01:32 1.2M 
[   ]goawk-doc-1.29.1-r2.apk2025-02-10 01:32 44K 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-25 20:06 1.7M 
[   ]gobuster-3.6.0-r9.apk2025-02-10 01:32 3.2M 
[   ]gomp-1.0.0-r9.apk2025-02-10 01:32 3.3M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 20:06 45K 
[   ]goreman-0.3.15-r10.apk2025-02-10 01:32 2.0M 
[   ]gortr-0.14.8-r10.apk2025-02-10 01:32 9.5M 
[   ]gortr-openrc-0.14.8-r10.apk2025-02-10 01:32 1.9K 
[   ]goshs-1.0.2-r0.apk2025-03-08 13:14 5.7M 
[   ]goshs-doc-1.0.2-r0.apk2025-03-08 13:14 2.2K 
[   ]gost-2.12.0-r3.apk2025-03-02 16:15 5.5M 
[   ]gost-doc-2.12.0-r3.apk2025-03-02 16:15 2.2K 
[   ]gosu-1.17-r7.apk2025-02-10 01:32 1.0M 
[   ]gotestsum-1.12.0-r4.apk2025-02-10 01:32 2.2M 
[   ]gotify-2.5.0-r3.apk2025-02-10 01:32 9.3M 
[   ]gotify-cli-2.3.2-r2.apk2025-02-10 01:32 3.9M 
[   ]gotify-openrc-2.5.0-r3.apk2025-02-10 01:32 1.9K 
[   ]goxel-0.15.1-r0.apk2024-10-25 20:06 1.6M 
[   ]gpa-0.10.0-r2.apk2024-10-25 20:06 210K 
[   ]gpa-doc-0.10.0-r2.apk2024-10-25 20:06 2.9K 
[   ]gpg-remailer-3.04.07-r1.apk2024-10-25 20:06 50K 
[   ]gpg-remailer-doc-3.04.07-r1.apk2024-10-25 20:06 9.5K 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 20:06 13M 
[   ]gpscorrelate-2.2-r0.apk2024-11-12 11:07 44K 
[   ]gpscorrelate-cli-2.2-r0.apk2024-11-12 11:07 22K 
[   ]gpscorrelate-doc-2.2-r0.apk2024-11-12 11:07 228K 
[   ]gpscorrelate-lang-2.2-r0.apk2024-11-12 11:07 17K 
[   ]granite7-7.5.0-r0.apk2024-10-25 20:06 114K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 20:06 40K 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 20:06 52K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:00 2.0M 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 20:06 19K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 20:06 2.2K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 20:06 3.3K 
[   ]greetd-wlgreet-0.5.0-r0.apk2024-10-25 20:06 611K 
[   ]grip-4.2.4-r0.apk2024-10-25 20:06 377K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 20:06 6.2K 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 20:06 144K 
[   ]gron-0.7.1-r21.apk2025-02-10 01:32 2.3M 
[   ]grpcui-1.4.3-r0.apk2025-03-12 06:43 8.4M 
[   ]grpcurl-1.9.2-r2.apk2025-02-10 01:32 7.6M 
[   ]gsettings-qt-0.2_git20220807-r1.apk2024-10-25 20:06 41K 
[   ]gsettings-qt-dev-0.2_git20220807-r1.apk2024-10-25 20:06 3.6K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 20:06 16K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 20:06 5.8K 
[   ]gssdp-1.6.3-r0.apk2024-12-01 12:57 43K 
[   ]gssdp-dev-1.6.3-r0.apk2024-12-01 12:57 15K 
[   ]gst-rtsp-server-1.24.12-r0.apk2025-02-21 17:08 228K 
[   ]gst-rtsp-server-dev-1.24.12-r0.apk2025-02-21 17:08 93K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-17 12:07 409K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-17 12:07 310K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:16 24K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:16 5.3K 
[   ]gtk4-layer-shell-1.1.0-r0.apk2025-01-30 18:06 25K 
[   ]gtk4-layer-shell-demo-1.1.0-r0.apk2025-01-30 18:06 9.5K 
[   ]gtk4-layer-shell-dev-1.1.0-r0.apk2025-01-30 18:06 12K 
[   ]gtk4-layer-shell-doc-1.1.0-r0.apk2025-01-30 18:06 2.2K 
[   ]gtkhash-1.5-r0.apk2024-10-25 20:06 86K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-25 20:06 47K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:16 18K 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:16 3.0K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 20:06 2.5M 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 20:06 27K 
[   ]guake-3.10-r1.apk2024-10-25 20:06 305K 
[   ]guake-lang-3.10-r1.apk2024-10-25 20:06 188K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 20:06 186K 
[   ]guestfs-tools-1.52.0-r1.apk2024-10-25 20:06 287K 
[   ]guetzli-0_git20191025-r1.apk2024-10-25 20:06 125K 
[   ]guetzli-dev-0_git20191025-r1.apk2024-10-25 20:06 2.1M 
[   ]gufw-24.04-r3.apk2024-11-19 22:34 596K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:34 4.5K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:34 855K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:34 65K 
[   ]guish-2.6.11-r0.apk2024-12-24 10:42 88K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 10:42 61K 
[   ]gupnp-1.6.8-r0.apk2025-01-25 07:03 84K 
[   ]gupnp-av-0.14.3-r0.apk2025-01-15 23:26 74K 
[   ]gupnp-av-dev-0.14.3-r0.apk2025-01-15 23:26 41K 
[   ]gupnp-dev-1.6.8-r0.apk2025-01-25 07:03 50K 
[   ]gupnp-dlna-0.12.0-r0.apk2024-12-01 12:57 61K 
[   ]gupnp-dlna-dev-0.12.0-r0.apk2024-12-01 12:57 24K 
[   ]gupnp-doc-1.6.8-r0.apk2025-01-25 07:03 3.7K 
[   ]gutenprint-5.3.4-r5.apk2024-10-25 20:06 821K 
[   ]gutenprint-cups-5.3.4-r5.apk2024-10-25 20:06 49M 
[   ]gutenprint-dev-5.3.4-r5.apk2024-10-25 20:06 36K 
[   ]gutenprint-doc-5.3.4-r5.apk2024-10-25 20:06 8.4K 
[   ]gutenprint-lang-5.3.4-r5.apk2024-10-25 20:06 1.9M 
[   ]gutenprint-libs-5.3.4-r5.apk2024-10-25 20:06 494K 
[   ]gutenprint-samples-5.3.4-r5.apk2024-10-25 20:06 636K 
[   ]gutenprint-static-5.3.4-r5.apk2024-10-25 20:06 713K 
[   ]gx-0.14.3-r27.apk2025-02-10 01:32 4.4M 
[   ]gx-doc-0.14.3-r27.apk2025-02-10 01:32 2.2K 
[   ]gx-go-1.9.0-r29.apk2025-02-10 01:32 4.6M 
[   ]gx-go-doc-1.9.0-r29.apk2025-02-10 01:32 2.2K 
[   ]gxlimg-0_git20240711-r0.apk2025-01-25 07:03 25K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 20:06 123K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 20:06 8.8K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 20:06 2.7K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 20:06 115K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 20:06 330K 
[   ]halp-0.2.0-r0.apk2024-10-25 20:06 930K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 20:06 2.2K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 20:06 6.9K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 20:06 2.0K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 20:06 2.4K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 20:06 156K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 20:06 2.0K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 20:06 116K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 20:06 206K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 20:06 358K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 20:06 97K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 20:06 32K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 20:06 9.5K 
[   ]harminv-1.4.2-r1.apk2024-10-25 20:06 7.4K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 20:06 3.1K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 20:06 5.6K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 20:06 12K 
[   ]hashcat-6.2.6-r0.apk2024-10-25 20:06 55M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 20:06 2.1M 
[   ]hatch-1.14.0-r0.apk2025-03-09 22:14 102K 
[   ]hatch-pyc-1.14.0-r0.apk2025-03-09 22:14 216K 
[   ]hatop-0.8.2-r0.apk2024-10-25 20:06 18K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 20:06 3.0K 
[   ]haxe-4.3.6-r0.apk2024-11-29 06:11 9.8M 
[   ]haxe-doc-4.3.6-r0.apk2024-11-29 06:11 7.7K 
[   ]hctl-0.2.6-r0.apk2024-10-25 20:06 1.2M 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 20:06 33K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 20:06 2.2K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 20:06 34K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 20:06 270K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 20:06 101K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 20:06 6.0K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 20:06 187K 
[   ]heh-0.6.1-r0.apk2024-10-25 20:06 484K 
[   ]heh-doc-0.6.1-r0.apk2024-10-25 20:06 4.1K 
[   ]heisenbridge-1.15.0-r0.apk2025-02-23 01:56 67K 
[   ]heisenbridge-pyc-1.15.0-r0.apk2025-02-23 01:56 154K 
[   ]helm-diff-3.9.13-r2.apk2025-02-10 01:32 21M 
[   ]helm-ls-0.0.12-r6.apk2025-02-10 01:32 11M 
[   ]helm-ls-doc-0.0.12-r6.apk2025-02-10 01:32 2.2K 
[   ]helm-mapkubeapis-0.5.2-r2.apk2025-02-10 01:32 19M 
[   ]helm-unittest-0.7.1-r2.apk2025-02-10 01:32 11M 
[   ]herbe-1.0.0-r0.apk2024-10-25 20:06 5.6K 
[   ]hex-0.6.0-r0.apk2024-10-25 20:06 301K 
[   ]hexdiff-0.0.53-r2.apk2024-10-25 20:06 14K 
[   ]hexdiff-doc-0.0.53-r2.apk2024-10-25 20:06 3.7K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 20:06 18K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 20:06 5.6K 
[   ]hexer-1.4.0-r16.apk2024-11-07 23:41 56K 
[   ]hexer-dev-1.4.0-r16.apk2024-11-07 23:41 7.3K 
[   ]hfst-3.16.0-r2.apk2024-10-25 20:06 1.3M 
[   ]hfst-dev-3.16.0-r2.apk2024-10-25 20:06 213K 
[   ]hfst-doc-3.16.0-r2.apk2024-10-25 20:06 71K 
[   ]hfst-libs-3.16.0-r2.apk2024-10-25 20:06 1.6M 
[   ]hiawatha-11.6-r0.apk2024-10-25 20:06 166K 
[   ]hiawatha-doc-11.6-r0.apk2024-10-25 20:06 21K 
[   ]hiawatha-letsencrypt-11.6-r0.apk2024-10-25 20:06 18K 
[   ]hiawatha-openrc-11.6-r0.apk2024-10-25 20:06 1.7K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 20:06 66K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 20:06 120K 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:50 75K 
[   ]hikari-2.3.3-r6.apk2024-10-25 20:06 934K 
[   ]hikari-doc-2.3.3-r6.apk2024-10-25 20:06 14K 
[   ]hikari-unlocker-2.3.3-r6.apk2024-10-25 20:06 3.9K 
[   ]hilbish-2.3.4-r2.apk2025-02-10 01:32 3.2M 
[   ]hilbish-doc-2.3.4-r2.apk2025-02-10 01:32 25K 
[   ]hiprompt-gtk-py-0.8.0-r0.apk2024-10-25 20:06 8.3K 
[   ]hitide-0.15.0-r0.apk2024-10-25 20:06 2.1M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 20:06 2.1K 
[   ]homebank-5.8.6-r0.apk2025-01-05 23:12 1.9M 
[   ]homebank-lang-5.8.6-r0.apk2025-01-05 23:12 920K 
[   ]hopalong-0.1-r3.apk2024-10-25 20:06 20K 
[   ]horizon-0.9.6-r9.apk2024-10-25 20:06 199K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 20:06 4.0M 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 20:06 4.9K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 20:06 21K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 20:06 63K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 20:06 72K 
[   ]horust-0.1.7-r2.apk2024-10-25 20:06 1.0M 
[   ]horust-doc-0.1.7-r2.apk2024-10-25 20:06 9.2K 
[   ]howard-bc-7.0.3-r0.apk2024-10-25 20:06 64K 
[   ]howard-bc-doc-7.0.3-r0.apk2024-10-25 20:06 39K 
[   ]hping3-20051105-r4.apk2024-10-25 20:06 68K 
[   ]hping3-doc-20051105-r4.apk2024-10-25 20:06 17K 
[   ]hpnssh-18.4.1-r0.apk2024-10-25 20:06 2.2M 
[   ]hpnssh-doc-18.4.1-r0.apk2024-10-25 20:06 98K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 20:06 11K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 20:06 900K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 20:06 58K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 20:06 21K 
[   ]htmldoc-1.9.20-r0.apk2024-12-10 15:44 2.3M 
[   ]htmldoc-doc-1.9.20-r0.apk2024-12-10 15:44 98K 
[   ]htslib-1.19-r0.apk2024-10-25 20:06 373K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 20:06 115K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 20:06 23K 
[   ]htslib-static-1.19-r0.apk2024-10-25 20:06 461K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 20:06 1.2M 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 20:06 3.4K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 20:06 2.3K 
[   ]httpx-1.6.10-r1.apk2025-02-10 01:32 13M 
[   ]httpx-doc-1.6.10-r1.apk2025-02-10 01:32 2.2K 
[   ]httrack-3.49.2-r5.apk2024-10-25 20:06 747K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 20:06 528K 
[   ]hub-2.14.2-r28.apk2025-02-10 01:32 2.6M 
[   ]hub-bash-completion-2.14.2-r28.apk2025-02-10 01:32 4.5K 
[   ]hub-doc-2.14.2-r28.apk2025-02-10 01:32 42K 
[   ]hub-fish-completion-2.14.2-r28.apk2025-02-10 01:32 3.2K 
[   ]hub-zsh-completion-2.14.2-r28.apk2025-02-10 01:32 3.6K 
[   ]hubble-cli-0.13.6-r2.apk2025-02-10 01:32 17M 
[   ]hubble-cli-bash-completion-0.13.6-r2.apk2025-02-10 01:32 5.0K 
[   ]hubble-cli-fish-completion-0.13.6-r2.apk2025-02-10 01:32 4.3K 
[   ]hubble-cli-zsh-completion-0.13.6-r2.apk2025-02-10 01:32 4.0K 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 20:06 731K 
[   ]hurl-6.0.0-r0.apk2024-12-07 20:18 1.8M 
[   ]hurl-bash-completion-6.0.0-r0.apk2024-12-07 20:18 2.2K 
[   ]hurl-doc-6.0.0-r0.apk2024-12-07 20:18 8.6K 
[   ]hurl-fish-completion-6.0.0-r0.apk2024-12-07 20:18 3.4K 
[   ]hurl-zsh-completion-6.0.0-r0.apk2024-12-07 20:18 3.9K 
[   ]hurlfmt-6.0.0-r0.apk2024-12-07 20:18 877K 
[   ]hw-probe-1.6.6-r0.apk2025-02-11 09:55 124K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 20:06 1.0M 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 20:06 3.0K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 20:06 1.8K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 20:06 1.9K 
[   ]hx-1.0.15-r0.apk2024-10-25 20:06 14K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 20:06 4.8K 
[   ]hy-1.0.0-r0.apk2024-10-25 20:06 85K 
[   ]hy-pyc-1.0.0-r0.apk2024-10-25 20:06 169K 
[   ]hyfetch-1.99.0-r1.apk2024-10-25 20:06 433K 
[   ]hyfetch-bash-completion-1.99.0-r1.apk2024-10-25 20:06 3.3K 
[   ]hyfetch-doc-1.99.0-r1.apk2024-10-25 20:06 17K 
[   ]hyfetch-pyc-1.99.0-r1.apk2024-10-25 20:06 180K 
[   ]hyfetch-zsh-completion-1.99.0-r1.apk2024-10-25 20:06 2.5K 
[   ]hypnotix-3.5-r0.apk2024-10-25 20:06 110K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 20:06 72K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 20:06 17K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 20:06 2.2K 
[   ]i2util-4.2.1-r1.apk2024-10-25 20:06 23K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 20:06 45K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 20:06 4.7K 
[   ]i3status-rust-0.33.2-r0.apk2024-11-11 03:21 4.5M 
[   ]i3status-rust-doc-0.33.2-r0.apk2024-11-11 03:21 34K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 20:06 8.7K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 20:06 1.9K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 20:06 17M 
[   ]icmake-9.03.01-r0.apk2024-10-25 20:06 102K 
[   ]icmake-doc-9.03.01-r0.apk2024-10-25 20:06 127K 
[   ]idesk-1-r1.apk2024-10-25 20:06 64K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:44 15K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:44 2.5K 
[   ]idevicerestore-1.0.0-r4.apk2024-10-30 22:44 83K 
[   ]idevicerestore-doc-1.0.0-r4.apk2024-10-30 22:44 2.5K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:44 9.8K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:44 2.3K 
[   ]igrep-1.2.0-r0.apk2024-10-25 20:06 1.6M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 20:06 4.1K 
[   ]ijq-1.1.0-r5.apk2025-02-10 01:32 1.4M 
[   ]ijq-doc-1.1.0-r5.apk2025-02-10 01:32 3.5K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 20:06 37K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 20:06 13K 
[   ]imediff-2.6-r1.apk2024-10-25 20:06 42K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 20:06 6.5K 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 20:06 44K 
[   ]imgdiff-1.0.2-r23.apk2025-02-10 01:32 958K 
[   ]imgdiff-doc-1.0.2-r23.apk2025-02-10 01:32 2.2K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 20:06 8.2K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 20:06 20K 
[   ]incus-ui-canonical-0.14.6-r0.apk2025-02-03 22:59 4.5M 
[   ]initify-0_git20171210-r1.apk2024-10-25 20:06 3.3K 
[   ]innernet-1.6.1-r0.apk2024-10-25 20:06 2.8M 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 20:06 3.8K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 20:06 9.0K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 20:06 4.5K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 20:06 2.3K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 20:06 5.4K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 20:06 105K 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 20:06 1.7K 
[   ]invidtui-0.4.6-r2.apk2025-02-10 01:32 3.7M 
[   ]ip2location-8.6.1-r0.apk2024-10-25 20:06 22K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 20:06 12K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 20:06 2.7K 
[   ]iprange-1.0.4-r1.apk2024-10-25 20:06 22K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 20:06 4.5K 
[   ]irccd-4.0.3-r0.apk2024-10-25 20:06 243K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 20:06 9.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 20:06 80K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 20:06 1.8K 
[   ]ircd-hybrid-8.2.46-r0.apk2025-01-25 07:03 327K 
[   ]ircd-hybrid-doc-8.2.46-r0.apk2025-01-25 07:03 3.6K 
[   ]ircdog-0.5.4-r2.apk2025-02-10 01:32 2.2M 
[   ]irctk-1.1.0-r0.apk2024-10-25 20:06 29K 
[   ]irctk-doc-1.1.0-r0.apk2024-10-25 20:06 16K 
[   ]irctk-transport-fossil-1.1.0-r0.apk2024-10-25 20:06 14K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 20:06 6.3K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 20:06 30K 
[   ]itd-1.1.0-r10.apk2025-02-10 01:32 8.5M 
[   ]iwasm-2.2.0-r0.apk2024-12-05 15:48 1.6K 
[   ]iwasm-gc-2.2.0-r0.apk2024-12-05 15:48 194K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 20:06 32K 
[   ]jackal-0.64.0-r12.apk2025-02-10 01:32 11M 
[   ]jackal-openrc-0.64.0-r12.apk2025-02-10 01:32 1.8K 
[   ]jackdaw-0.3.1-r1.apk2024-10-25 20:06 2.0M 
[   ]jackdaw-pyc-0.3.1-r1.apk2024-10-25 20:06 370K 
[   ]jackline-0.1.0-r3.apk2024-10-25 20:06 3.9M 
[   ]jalv-1.6.8-r1.apk2024-10-25 20:06 46K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 20:06 3.2K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 20:06 32K 
[   ]jami-qt-20230925-r0.apk2024-10-25 20:06 14M 
[   ]jami-qt-doc-20230925-r0.apk2024-10-25 20:06 2.6K 
[   ]jami-qt-lang-20230925-r0.apk2024-10-25 20:06 2.3M 
[   ]jaq-2.1.0-r0.apk2025-02-05 22:03 634K 
[   ]jaq-doc-2.1.0-r0.apk2025-02-05 22:03 2.2K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 20:06 4.0M 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 20:06 12K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 20:06 219K 
[   ]jbigkit-2.1-r2.apk2024-10-25 20:06 65K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 20:06 29K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 20:06 7.3K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 20:06 89K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 20:06 12K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 20:06 29K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 20:06 9.0K 
[   ]jedi-language-server-0.43.1-r0.apk2025-01-04 01:41 26K 
[   ]jedi-language-server-pyc-0.43.1-r0.apk2025-01-04 01:41 37K 
[   ]jfrog-cli-2.45.0-r9.apk2025-02-10 01:32 8.7M 
[   ]jhead-3.08-r0.apk2024-10-25 20:06 34K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 20:06 7.9K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 20:12 233K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 20:06 572K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 20:06 4.7K 
[   ]json2tsv-1.2-r0.apk2024-10-25 20:06 6.6K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 20:06 5.2K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 20:06 1.9K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 20:06 2.3K 
[   ]jsonnet-bundler-0.6.0-r2.apk2025-02-10 01:32 3.0M 
[   ]jsonnet-language-server-0.15.0-r1.apk2025-02-10 01:32 3.8M 
[   ]junit2html-31.0.2-r0.apk2024-10-25 20:06 17K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 20:06 24K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 18:18 847K 
[   ]k2-0_git20220807-r1.apk2024-10-25 20:06 110K 
[   ]k3sup-0.13.6-r2.apk2025-02-10 01:32 2.4M 
[   ]k3sup-bash-completion-0.13.6-r2.apk2025-02-10 01:32 5.0K 
[   ]k3sup-fish-completion-0.13.6-r2.apk2025-02-10 01:32 4.2K 
[   ]k3sup-zsh-completion-0.13.6-r2.apk2025-02-10 01:32 3.9K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 20:06 54K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 20:06 3.5K 
[   ]kalker-2.2.1-r0.apk2024-10-25 20:06 670K 
[   ]kanister-tools-0.112.0-r2.apk2025-02-10 01:32 59M 
[   ]kanister-tools-bash-completion-0.112.0-r2.apk2025-02-10 01:32 5.5K 
[   ]kanister-tools-fish-completion-0.112.0-r2.apk2025-02-10 01:32 4.8K 
[   ]kanister-tools-zsh-completion-0.112.0-r2.apk2025-02-10 01:32 4.3K 
[   ]kannel-1.5.0-r11.apk2024-10-25 20:06 6.1M 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 20:06 927K 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 20:06 6.2K 
[   ]kapow-0.7.1-r10.apk2025-02-10 01:32 3.2M 
[   ]katana-1.1.2-r0.apk2025-03-03 06:39 12M 
[   ]katana-doc-1.1.2-r0.apk2025-03-03 06:39 2.2K 
[   ]katarakt-0.2-r1.apk2025-01-29 19:00 80K 
[   ]kbs2-0.7.2-r3.apk2024-10-25 20:06 1.0M 
[   ]kbs2-bash-completion-0.7.2-r3.apk2024-10-25 20:06 3.1K 
[   ]kbs2-fish-completion-0.7.2-r3.apk2024-10-25 20:06 3.2K 
[   ]kbs2-zsh-completion-0.7.2-r3.apk2024-10-25 20:06 4.0K 
[   ]kdiskmark-3.1.4-r1.apk2024-10-25 20:06 159K 
[   ]kdiskmark-lang-3.1.4-r1.apk2024-10-25 20:06 27K 
[   ]keepassxc-browser-1.8.9-r0.apk2024-10-25 20:06 876K 
[   ]kerberoast-0.2.0-r1.apk2024-10-25 20:06 9.6K 
[   ]kerberoast-pyc-0.2.0-r1.apk2024-10-25 20:06 15K 
[   ]kew-3.0.3-r0.apk2025-01-31 14:32 310K 
[   ]kew-doc-3.0.3-r0.apk2025-01-31 14:32 3.4K 
[   ]keybase-client-6.2.8-r7.apk2025-02-10 01:32 17M 
[   ]keystone-0.9.2-r6.apk2024-10-25 20:06 1.4M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 20:06 7.3K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 20:06 1.6M 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 20:06 9.7K 
[   ]kfc-0.1.4-r0.apk2024-10-25 20:06 58K 
[   ]khinsider-2.0.7-r17.apk2025-02-10 01:32 3.2M 
[   ]khronos-4.0.1-r0.apk2024-10-25 20:06 49K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 20:06 26K 
[   ]kimchi-3.0.0-r8.apk2025-02-10 21:13 529K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-10 21:13 172K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-10 21:13 476K 
[   ]kine-0.10.1-r10.apk2025-02-10 01:32 7.4M 
[   ]kine-doc-0.10.1-r10.apk2025-02-10 01:32 5.1K 
[   ]kirc-0.3.3-r0.apk2025-02-22 11:09 15K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 11:09 2.8K 
[   ]kismet-0.202307.1-r4.apk2024-11-11 17:19 11M 
[   ]kismet-linux-bluetooth-0.202307.1-r4.apk2024-11-11 17:19 43K 
[   ]kismet-linux-wifi-0.202307.1-r4.apk2024-11-11 17:19 62K 
[   ]kismet-logtools-0.202307.1-r4.apk2024-11-11 17:19 1.0M 
[   ]kismet-nrf-51822-0.202307.1-r4.apk2024-11-11 17:19 39K 
[   ]kismet-nxp-kw41z-0.202307.1-r4.apk2024-11-11 17:19 41K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 20:06 1.5M 
[   ]kmscon-9.0.0-r0.apk2024-10-25 20:06 794K 
[   ]kmscon-doc-9.0.0-r0.apk2024-10-25 20:06 7.7K 
[   ]knative-client-1.17.0-r1.apk2025-02-10 01:32 22M 
[   ]knative-client-bash-completion-1.17.0-r1.apk2025-02-10 01:32 10K 
[   ]knative-client-fish-completion-1.17.0-r1.apk2025-02-10 01:32 4.2K 
[   ]knative-client-zsh-completion-1.17.0-r1.apk2025-02-10 01:32 4.0K 
[   ]knxd-0.14.61-r1.apk2024-12-14 18:56 374K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 18:56 24K 
[   ]ko-0.17.1-r2.apk2025-02-10 01:32 9.7M 
[   ]ko-bash-completion-0.17.1-r2.apk2025-02-10 01:32 5.0K 
[   ]ko-fish-completion-0.17.1-r2.apk2025-02-10 01:32 4.2K 
[   ]ko-zsh-completion-0.17.1-r2.apk2025-02-10 01:32 4.0K 
[   ]komikku-1.69.0-r0.apk2025-02-10 15:14 1.0M 
[   ]komikku-lang-1.69.0-r0.apk2025-02-10 15:14 260K 
[   ]komikku-pyc-1.69.0-r0.apk2025-02-10 15:14 737K 
[   ]kompose-1.31.2-r7.apk2025-02-10 01:32 6.9M 
[   ]kompose-bash-completion-1.31.2-r7.apk2025-02-10 01:32 5.5K 
[   ]kompose-fish-completion-1.31.2-r7.apk2025-02-10 01:32 4.3K 
[   ]kompose-zsh-completion-1.31.2-r7.apk2025-02-10 01:32 6.7K 
[   ]kondo-0.8-r0.apk2024-10-25 20:06 689K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 20:06 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 20:06 2.1K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 20:06 2.4K 
[   ]kopia-0.19.0-r1.apk2025-02-10 01:32 16M 
[   ]kopia-bash-completion-0.19.0-r1.apk2025-02-10 01:32 1.7K 
[   ]kopia-zsh-completion-0.19.0-r1.apk2025-02-10 01:32 1.7K 
[   ]ktx-4.3.2-r0.apk2024-10-25 20:06 1.1M 
[   ]ktx-dev-4.3.2-r0.apk2024-10-25 20:06 29K 
[   ]ktx-libs-4.3.2-r0.apk2024-10-25 20:06 1.3M 
[   ]kube-no-trouble-0.7.3-r2.apk2025-02-10 01:32 13M 
[   ]kubeconform-0.6.6-r4.apk2025-02-10 01:32 3.1M 
[   ]kubeone-1.9.2-r1.apk2025-02-10 01:32 26M 
[   ]kubeone-bash-completion-1.9.2-r1.apk2025-02-10 01:32 6.6K 
[   ]kubeone-doc-1.9.2-r1.apk2025-02-10 01:32 20K 
[   ]kubeone-zsh-completion-1.9.2-r1.apk2025-02-10 01:32 4.0K 
[   ]kubepug-1.7.1-r7.apk2025-02-10 01:32 16M 
[   ]kubepug-bash-completion-1.7.1-r7.apk2025-02-10 01:32 5.1K 
[   ]kubepug-fish-completion-1.7.1-r7.apk2025-02-10 01:32 4.3K 
[   ]kubepug-zsh-completion-1.7.1-r7.apk2025-02-10 01:32 4.0K 
[   ]kubeseal-0.28.0-r0.apk2025-02-17 12:07 11M 
[   ]kubeseal-doc-0.28.0-r0.apk2025-02-17 12:07 5.5K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 20:06 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 20:06 13K 
[   ]laze-0.1.31-r0.apk2025-02-18 22:39 1.0M 
[   ]laze-bash-completion-0.1.31-r0.apk2025-02-18 22:39 3.1K 
[   ]laze-doc-0.1.31-r0.apk2025-02-18 22:39 3.5K 
[   ]laze-fish-completion-0.1.31-r0.apk2025-02-18 22:39 3.4K 
[   ]laze-zsh-completion-0.1.31-r0.apk2025-02-18 22:39 3.6K 
[   ]lazymc-0.2.11-r0.apk2024-10-25 20:06 1.1M 
[   ]lazymc-openrc-0.2.11-r0.apk2024-10-25 20:06 2.0K 
[   ]lbb-0.9.1-r0.apk2025-03-01 19:42 3.1M 
[   ]lbb-doc-0.9.1-r0.apk2025-03-01 19:42 19K 
[   ]ldapdomaindump-0.9.4-r1.apk2024-10-25 20:06 18K 
[   ]ldapdomaindump-pyc-0.9.4-r1.apk2024-10-25 20:06 31K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 20:06 76K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 20:06 14K 
[   ]lefthook-1.11.3-r0.apk2025-03-10 22:54 5.1M 
[   ]lefthook-doc-1.11.3-r0.apk2025-03-10 22:54 2.2K 
[   ]legume-1.4.2-r6.apk2025-02-10 01:32 1.4M 
[   ]legume-doc-1.4.2-r6.apk2025-02-10 01:32 12K 
[   ]leptosfmt-0.1.18-r0.apk2024-10-25 20:06 871K 
[   ]leptosfmt-doc-0.1.18-r0.apk2024-10-25 20:06 6.2K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 20:06 46K 
[   ]lfm-3.1-r4.apk2024-10-25 20:06 88K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 20:06 2.8K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 20:06 134K 
[   ]lgogdownloader-3.16-r0.apk2024-12-25 18:53 357K 
[   ]lgogdownloader-doc-3.16-r0.apk2024-12-25 18:53 8.5K 
[   ]libabigail-2.3-r0.apk2024-10-25 20:06 762K 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 20:06 3.0K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 20:06 1.3M 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 20:06 61K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 20:06 97K 
[   ]libantic-0.2.5-r0.apk2024-10-25 20:06 37K 
[   ]libantic-dev-0.2.5-r0.apk2024-10-25 20:06 6.4K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 20:06 38K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 20:06 58K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 12:30 397K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 12:30 863K 
[   ]libapk3-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 119K 
[   ]libarb-2.23.0-r2.apk2024-10-25 20:06 1.3M 
[   ]libarb-dev-2.23.0-r2.apk2024-10-25 20:06 54K 
[   ]libarb-static-2.23.0-r2.apk2024-10-25 20:06 1.7M 
[   ]libaudec-0.3.4-r3.apk2024-10-25 20:06 28K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 20:06 4.3K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 20:06 30K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 20:06 28K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 20:06 4.5K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 20:06 5.5K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 20:06 8.0K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 20:06 133K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 20:06 6.4K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 20:06 31K 
[   ]libbraiding-1.3.1-r0.apk2024-11-19 22:34 79K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-19 22:34 15K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 20:06 42K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 20:06 39K 
[   ]libcec-rpi-6.0.2-r4.apk2024-10-25 20:06 170K 
[   ]libcec-rpi-dev-6.0.2-r4.apk2024-10-25 20:06 25K 
[   ]libcli-1.10.7-r0.apk2024-10-25 20:06 26K 
[   ]libcork-0.15.0-r7.apk2024-10-25 20:06 29K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 20:06 30K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 20:06 4.6K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 20:06 11K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 20:06 8.1K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 20:06 12K 
[   ]libcotp-3.1.0-r0.apk2024-10-25 20:06 7.8K 
[   ]libcotp-dev-3.1.0-r0.apk2024-10-25 20:06 2.5K 
[   ]libctl-4.5.1-r1.apk2024-10-25 20:06 87K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 20:06 39K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 20:06 3.0K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 20:06 19K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 20:06 13K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 20:06 8.6K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 20:06 21K 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:09 11K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:09 3.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:09 4.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:09 5.8K 
[   ]libeantic-2.0.2-r1.apk2024-10-25 20:06 68K 
[   ]libeantic-dev-2.0.2-r1.apk2024-10-25 20:06 18K 
[   ]libecap-1.0.1-r1.apk2024-10-25 20:06 13K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 20:06 11K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 20:06 19K 
[   ]libecm-7.0.5-r1.apk2024-10-25 20:06 205K 
[   ]libemf2svg-1.1.0-r2.apk2024-10-25 20:06 142K 
[   ]libemf2svg-utils-1.1.0-r2.apk2024-10-25 20:06 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 20:06 36K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 20:06 18K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 20:06 194K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 20:06 7.9K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 20:06 54K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 20:06 75K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 20:06 96K 
[   ]libfort-0.4.2-r0.apk2024-10-25 20:06 29K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 20:06 17K 
[   ]libfyaml-0.9-r0.apk2024-10-25 20:06 249K 
[   ]libfyaml-dev-0.9-r0.apk2024-10-25 20:06 42K 
[   ]libfyaml-doc-0.9-r0.apk2024-10-25 20:06 7.4K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 20:06 74K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 20:06 244K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 20:06 95K 
[   ]libgrapheme-1-r0.apk2024-10-25 20:06 9.4K 
[   ]libgrapheme-dev-1-r0.apk2024-10-25 20:06 9.7K 
[   ]libgrapheme-doc-1-r0.apk2024-10-25 20:06 8.0K 
[   ]libguestfs-1.52.0-r1.apk2024-10-25 20:06 343K 
[   ]libguestfs-dev-1.52.0-r1.apk2024-10-25 20:06 29K 
[   ]libguestfs-doc-1.52.0-r1.apk2024-10-25 20:06 560K 
[   ]libguestfs-static-1.52.0-r1.apk2024-10-25 20:06 474K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 20:06 14K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 20:06 17K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 20:06 6.0K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 20:06 5.4K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 20:06 13K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:44 16K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:44 3.3K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:44 2.2K 
[   ]libigraph-0.10.15-r0.apk2024-11-19 22:34 1.1M 
[   ]libigraph-dev-0.10.15-r0.apk2024-11-19 22:34 90K 
[   ]libiio-0.25-r2.apk2024-10-25 20:06 47K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 20:06 13K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 20:06 18K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 20:06 21K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 20:06 71K 
[   ]libiml-1.0.5-r3.apk2024-10-25 20:06 62K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 20:06 3.9K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 20:06 62K 
[   ]libirecovery-1.2.1-r0.apk2024-10-30 22:44 24K 
[   ]libirecovery-dev-1.2.1-r0.apk2024-10-30 22:44 4.1K 
[   ]libirecovery-progs-1.2.1-r0.apk2024-10-30 22:44 8.2K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 20:06 56K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 20:06 20K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 20:06 9.3K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 20:06 70K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 20:06 82K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 20:06 7.3K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 20:06 4.2K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 20:06 3.7K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 20:06 152K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 20:06 20K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:06 132K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:06 32K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:06 142K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:06 223K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:06 24K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:06 236K 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 20:06 722K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 20:06 2.3M 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 20:06 93K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 20:06 8.9K 
[   ]libmdf-1.0.29-r0.apk2024-10-25 20:06 35K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-25 20:06 14K 
[   ]libmedc-4.1.1-r4.apk2024-10-25 20:06 660K 
[   ]libmedc-dev-4.1.1-r4.apk2024-10-25 20:06 38K 
[   ]libmedc-doc-4.1.1-r4.apk2024-10-25 20:06 41M 
[   ]libmedc-python-4.1.1-r4.apk2024-10-25 20:06 1.7M 
[   ]libmedc-python-pyc-4.1.1-r4.apk2024-10-25 20:06 475K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 20:06 101K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 20:06 113K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 20:06 8.2K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 20:06 28K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 20:06 5.4K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 20:06 19K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 20:06 42K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 20:06 18K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 20:06 29K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 20:06 81K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 20:06 68K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 20:06 12K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 20:06 25K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 20:06 7.0K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 20:06 1.1M 
[   ]libnbcompat-1.0.2-r0.apk2024-10-25 20:06 34K 
[   ]libnbcompat-dev-1.0.2-r0.apk2024-10-25 20:06 66K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:42 1.2K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:42 70K 
[   ]libnfc-1.8.0-r1.apk2024-10-25 20:06 56K 
[   ]libnfc-dev-1.8.0-r1.apk2024-10-25 20:06 7.9K 
[   ]libnfc-doc-1.8.0-r1.apk2024-10-25 20:06 22K 
[   ]libnfc-tools-1.8.0-r1.apk2024-10-25 20:06 60K 
[   ]libnih-1.0.3-r7.apk2024-10-25 20:06 120K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 20:06 117K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 20:06 2.7K 
[   ]libntl-11.5.1-r4.apk2024-10-25 20:06 1.0M 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 20:06 159K 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 20:06 374K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 20:06 1.5M 
[   ]libnxml-0.18.3-r0.apk2024-10-25 20:06 19K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 20:06 28K 
[   ]libofx-0.10.9-r1.apk2024-10-25 20:06 62K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 20:06 20K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 20:06 104K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 20:06 118K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 20:06 155K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 20:06 134K 
[   ]libppl-1.2-r1.apk2024-10-25 20:06 459K 
[   ]libppl_c-1.2-r1.apk2024-10-25 20:06 947K 
[   ]libqd-2.3.24-r0.apk2024-10-25 20:06 147K 
[   ]libqd-dev-2.3.24-r0.apk2024-10-25 20:06 58K 
[   ]libqd-doc-2.3.24-r0.apk2024-10-25 20:06 182K 
[   ]libqd-static-2.3.24-r0.apk2024-10-25 20:06 236K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-17 12:07 63K 
[   ]libqtdbustest-0.3.3-r1.apk2025-02-17 12:07 29K 
[   ]libraqm-0.10.2-r0.apk2024-10-25 20:06 9.9K 
[   ]libraqm-dev-0.10.2-r0.apk2024-10-25 20:06 4.5K 
[   ]libraqm-doc-0.10.2-r0.apk2024-10-25 20:06 21K 
[   ]libre-3.20.0-r0.apk2025-02-25 12:18 257K 
[   ]libre-dev-3.20.0-r0.apk2025-02-25 12:18 428K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 20:06 45K 
[   ]librespot-0.6.0-r0.apk2024-11-01 21:57 2.9M 
[   ]librespot-openrc-0.6.0-r0.apk2024-11-01 21:57 1.8K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 20:06 269K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 20:06 343K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 20:06 281K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 20:06 1.4M 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 20:06 352K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 20:06 590K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 20:06 223K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 20:06 293K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 20:06 258K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 20:06 562K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 20:06 119K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 20:06 9.7M 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 20:06 33K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 20:06 147K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 20:06 841K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 20:06 833K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-25 20:06 173K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 20:06 8.6K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 20:06 162K 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 20:06 2.6M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 20:06 6.7M 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 20:06 144K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 20:06 387K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 20:06 271K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 20:06 457K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 20:06 161K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-25 20:06 865K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-25 20:06 440K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 20:06 82K 
[   ]libretro-ppsspp-0_git20210516-r14.apk2024-10-25 20:06 2.3M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 20:06 18M 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 20:06 688K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 20:06 871K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 20:06 368K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 20:06 111K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 20:06 95K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 20:06 126K 
[   ]libsds-2.0.0-r1.apk2024-10-25 20:06 9.6K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 20:06 3.8K 
[   ]libsemanage-3.6-r1.apk2024-10-25 20:06 89K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 20:06 137K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 20:06 23K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 18:56 610K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 18:56 335K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 18:56 1.4M 
[   ]libserialport-0.1.1-r1.apk2024-10-25 20:06 22K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 20:06 39K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 20:06 479K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 20:06 31K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 20:06 333K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 20:06 37K 
[   ]libsimpleble-0.8.1-r0.apk2025-02-25 22:20 171K 
[   ]libsimpleble-c-0.8.1-r0.apk2025-02-25 22:20 14K 
[   ]libsimplebluez-0.8.1-r0.apk2025-02-25 22:20 120K 
[   ]libsirocco-2.1.0-r2.apk2024-10-25 20:06 57K 
[   ]libsirocco-dev-2.1.0-r2.apk2024-10-25 20:06 1.9K 
[   ]libspatialindex-0_git20210205-r1.apk2024-10-25 20:06 308K 
[   ]libspatialindex-dev-0_git20210205-r1.apk2024-10-25 20:06 22K 
[   ]libstirshaken-0_git20240208-r2.apk2024-10-25 20:06 54K 
[   ]libstirshaken-dev-0_git20240208-r2.apk2024-10-25 20:06 83K 
[   ]libstirshaken-tools-0_git20240208-r2.apk2024-10-25 20:06 159K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 20:06 4.0M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 20:06 32K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 20:06 4.5M 
[   ]libtins-4.5-r1.apk2024-10-25 20:06 296K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 20:06 141K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 20:06 2.3K 
[   ]libtinycbor-0.6.0-r1.apk2024-10-25 20:06 19K 
[   ]libtommath-1.2.1-r0.apk2024-10-25 20:06 35K 
[   ]libtommath-dev-1.2.1-r0.apk2024-10-25 20:06 60K 
[   ]libtsm-4.0.2-r1.apk2024-10-25 20:06 24K 
[   ]libtsm-dev-4.0.2-r1.apk2024-10-25 20:06 9.5K 
[   ]libucl-0.9.0-r0.apk2024-10-25 20:06 52K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 20:06 78K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 20:06 8.8K 
[   ]libuecc-7-r4.apk2025-03-03 16:08 8.9K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:08 4.6K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 20:06 425K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 20:06 3.4K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 20:06 2.0K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 20:06 72K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 20:06 51K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 20:06 13K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 20:06 2.9K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 20:06 109K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 20:06 325K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 20:06 190K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 20:06 116K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 20:06 9.9K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 20:06 5.7K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 20:06 61K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 20:06 9.0K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 20:06 28K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 20:06 4.7K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 20:06 1.5K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 20:06 1.7K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:45 61K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:45 29K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:45 175K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:45 72K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:45 63K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 20:06 43K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 20:06 8.1K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 20:06 45K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 164K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 20:40 222K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 20:40 14K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 20:40 274K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 20:06 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 20:06 18K 
[   ]limnoria-20240828-r0.apk2024-10-25 20:06 1.1M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 20:06 8.4K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 20:06 1.2M 
[   ]linphone-5.3.38-r0.apk2024-10-25 20:06 9.0M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 20:06 250K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 20:06 2.6M 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 20:06 197K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 20:06 5.1K 
[   ]linuxptp-4.4-r0.apk2024-11-19 22:34 1.2K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-19 22:34 38K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-19 22:34 4.4K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-19 22:34 35K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-19 22:34 40K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-19 22:34 11K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-19 22:34 39K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-19 22:34 83K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-19 22:34 15K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-19 22:34 39K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-19 22:34 21K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 20:06 32M 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 20:06 57K 
[   ]listenbrainz-mpd-2.3.8-r0.apk2024-10-25 20:06 1.2M 
[   ]listenbrainz-mpd-bash-completion-2.3.8-r0.apk2024-10-25 20:06 2.1K 
[   ]listenbrainz-mpd-doc-2.3.8-r0.apk2024-10-25 20:06 14K 
[   ]listenbrainz-mpd-fish-completion-2.3.8-r0.apk2024-10-25 20:06 1.8K 
[   ]listenbrainz-mpd-zsh-completion-2.3.8-r0.apk2024-10-25 20:06 2.1K 
[   ]litehtml-0.9-r2.apk2025-02-17 12:07 281K 
[   ]litehtml-dev-0.9-r2.apk2025-02-17 12:07 42K 
[   ]litehtml-static-0.9-r2.apk2025-02-17 12:07 485K 
[   ]litterbox-1.9-r1.apk2024-10-25 20:06 31K 
[   ]litterbox-doc-1.9-r1.apk2024-10-25 20:06 7.2K 
[   ]lizardfs-3.13.0-r15.apk2025-02-17 12:07 137K 
[   ]lizardfs-bash-completion-3.13.0-r15.apk2025-02-17 12:07 1.8K 
[   ]lizardfs-cgi-3.13.0-r15.apk2025-02-17 12:07 31K 
[   ]lizardfs-cgiserv-3.13.0-r15.apk2025-02-17 12:07 7.3K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r15.apk2025-02-17 12:07 1.9K 
[   ]lizardfs-chunkserver-3.13.0-r15.apk2025-02-17 12:07 352K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r15.apk2025-02-17 12:07 1.6K 
[   ]lizardfs-client-3.13.0-r15.apk2025-02-17 12:07 1.2M 
[   ]lizardfs-doc-3.13.0-r15.apk2025-02-17 12:07 11K 
[   ]lizardfs-master-3.13.0-r15.apk2025-02-17 12:07 910K 
[   ]lizardfs-master-openrc-3.13.0-r15.apk2025-02-17 12:07 1.6K 
[   ]lizardfs-metalogger-3.13.0-r15.apk2025-02-17 12:07 153K 
[   ]lizardfs-metalogger-openrc-3.13.0-r15.apk2025-02-17 12:07 1.6K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 11:44 105K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 11:44 22K 
[   ]llmnrd-0.7-r1.apk2024-10-25 20:06 18K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 20:06 3.0K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 20:06 1.9K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 20:06 5.3K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 20:06 69K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 20:06 39K 
[   ]log4cxx-1.1.0-r3.apk2025-02-17 12:07 471K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-17 12:07 135K 
[   ]logc-0.5.0-r0.apk2024-10-25 20:06 7.3K 
[   ]logc-argp-0.5.0-r0.apk2024-10-25 20:06 15K 
[   ]logc-config-0.5.0-r0.apk2024-10-25 20:06 4.8K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 20:06 3.9K 
[   ]logc-dev-0.5.0-r0.apk2024-10-25 20:06 8.9K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 20:06 3.3K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 20:06 1.4K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 20:06 5.4K 
[   ]logtop-0.7-r0.apk2024-10-25 20:06 12K 
[   ]logtop-doc-0.7-r0.apk2024-10-25 20:06 2.8K 
[   ]logtop-libs-0.7-r0.apk2024-10-25 20:06 13K 
[   ]logwatch-7.10-r1.apk2024-10-25 20:06 483K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 20:06 38K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 20:06 442K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 20:06 6.5K 
[   ]lolcat-1.4-r0.apk2024-10-25 20:06 9.7K 
[   ]lomiri-action-api-1.1.3-r1.apk2024-10-25 20:06 74K 
[   ]lomiri-action-api-dev-1.1.3-r1.apk2024-10-25 20:06 5.4K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-17 12:07 31K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-17 12:07 32K 
[   ]lomiri-app-launch-0.1.11-r1.apk2025-02-17 12:07 309K 
[   ]lomiri-app-launch-dev-0.1.11-r1.apk2025-02-17 12:07 20K 
[   ]lomiri-download-manager-0.1.3-r5.apk2025-02-17 12:07 535K 
[   ]lomiri-download-manager-dev-0.1.3-r5.apk2025-02-17 12:07 17K 
[   ]lomiri-download-manager-doc-0.1.3-r5.apk2025-02-17 12:07 2.9M 
[   ]lomiri-download-manager-lang-0.1.3-r5.apk2025-02-17 12:07 27K 
[   ]lomiri-history-service-0.6-r2.apk2024-12-07 12:33 327K 
[   ]lomiri-history-service-dev-0.6-r2.apk2024-12-07 12:33 11K 
[   ]lomiri-location-service-3.3.0-r2.apk2025-03-02 08:29 2.0M 
[   ]lomiri-location-service-dev-3.3.0-r2.apk2025-03-02 08:29 30K 
[   ]lomiri-location-service-doc-3.3.0-r2.apk2025-03-02 08:29 2.8K 
[   ]lomiri-location-service-lang-3.3.0-r2.apk2025-03-02 08:29 26K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:05 88K 
[   ]lomiri-schemas-0.1.7-r0.apk2025-01-26 01:33 11K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:05 218K 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:05 98K 
[   ]lomiri-sounds-22.02-r0.apk2024-10-25 20:06 18M 
[   ]lomiri-thumbnailer-3.0.4-r2.apk2025-02-17 12:07 205K 
[   ]lomiri-thumbnailer-dev-3.0.4-r2.apk2025-02-17 12:07 5.0K 
[   ]lomiri-thumbnailer-doc-3.0.4-r2.apk2025-02-17 12:07 1.5K 
[   ]lomiri-trust-store-2.0.2-r8.apk2025-02-27 21:26 911K 
[   ]lomiri-trust-store-dev-2.0.2-r8.apk2025-02-27 21:26 9.1K 
[   ]lomiri-trust-store-lang-2.0.2-r8.apk2025-02-27 21:26 28K 
[   ]lomiri-ui-extras-0.6.3-r1.apk2024-10-25 20:06 245K 
[   ]lomiri-ui-extras-lang-0.6.3-r1.apk2024-10-25 20:06 55K 
[   ]lomiri-url-dispatcher-0.1.3-r3.apk2025-02-17 12:07 36K 
[   ]lomiri-url-dispatcher-dev-0.1.3-r3.apk2025-02-17 12:07 3.3K 
[   ]lomiri-url-dispatcher-lang-0.1.3-r3.apk2025-02-17 12:07 18K 
[   ]lotide-0.15.0-r0.apk2024-10-25 20:06 3.6M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 20:06 3.1K 
[   ]lottieconverter-0.2_git20231219-r0.apk2024-10-25 20:06 11K 
[   ]lottieconverter-doc-0.2_git20231219-r0.apk2024-10-25 20:06 2.4K 
[   ]lout-3.42.2-r0.apk2024-10-25 20:06 1.4M 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 20:06 453K 
[   ]lowjs-1.6.2-r2.apk2024-10-25 20:06 1.3M 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-25 20:06 3.0K 
[   ]lrcalc-2.1-r1.apk2024-10-25 20:06 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 20:06 11K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 20:06 23K 
[   ]lsdvd-0.17-r0.apk2024-10-25 20:06 13K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 20:06 2.5K 
[   ]lshell-0.9.18-r11.apk2024-10-25 20:06 36K 
[   ]lshell-doc-0.9.18-r11.apk2024-10-25 20:06 25K 
[   ]lshell-pyc-0.9.18-r11.apk2024-10-25 20:06 35K 
[   ]lsix-1.8.2-r0.apk2024-10-25 20:06 6.5K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 20:06 273K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 20:06 342K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 20:06 1.2K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 20:06 3.4K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 20:06 9.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 20:06 1.4K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 20:06 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 20:06 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 20:06 19K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 20:06 1.2K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 20:06 1.5K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 20:06 20K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 20:06 89K 
[   ]lua-psl-0.3-r0.apk2024-10-25 20:06 1.1K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 20:06 5.3K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 20:06 3.6K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 20:06 1.4K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 20:06 59K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 20:06 22K 
[   ]lua5.1-libguestfs-1.52.0-r1.apk2024-10-25 20:06 77K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 20:06 8.9K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 20:06 68K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 20:06 5.4K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 20:06 22K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.1K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 20:06 59K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 20:06 8.9K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.8K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 20:06 5.3K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 20:06 22K 
[   ]lua5.3-apk3-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 5.5K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.2K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 20:06 60K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 20:06 413K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.8K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 20:06 5.3K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 20:06 4.2K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 20:06 60K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 20:06 16K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 20:06 23K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 20:06 8.9K 
[   ]luacov-0.15.0-r0.apk2024-10-25 20:06 1.4K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 20:06 1.2K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 20:06 35K 
[   ]luksmeta-9-r0.apk2024-10-25 20:06 13K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 20:06 3.1K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 20:06 5.5K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 20:06 1.2K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 20:06 157K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 20:06 8.8M 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 20:06 786K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 20:06 12K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 20:06 150K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 20:06 373K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 20:06 190K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 20:06 117K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 20:06 156K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 20:06 90K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 20:06 181K 
[   ]lumins-0.4.0-r2.apk2024-10-25 20:06 665K 
[   ]lutgen-0.11.2-r0.apk2024-10-25 20:06 1.6M 
[   ]lutgen-bash-completion-0.11.2-r0.apk2024-10-25 20:06 1.7K 
[   ]lutgen-doc-0.11.2-r0.apk2024-10-25 20:06 4.2K 
[   ]lutgen-fish-completion-0.11.2-r0.apk2024-10-25 20:06 1.7K 
[   ]lutgen-zsh-completion-0.11.2-r0.apk2024-10-25 20:06 1.6K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 20:06 29K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 20:06 3.2K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 20:06 2.6K 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 20:06 80K 
[   ]lxd-feature-5.20-r8.apk2025-02-10 01:32 66M 
[   ]lxd-feature-bash-completion-5.20-r8.apk2025-02-10 01:32 5.1K 
[   ]lxd-feature-doc-5.20-r8.apk2025-02-10 01:32 1.6K 
[   ]lxd-feature-openrc-5.20-r8.apk2025-02-10 01:32 2.4K 
[   ]lxd-feature-scripts-5.20-r8.apk2025-02-10 01:32 2.1K 
[   ]lynis-3.1.1-r0.apk2024-10-25 20:06 271K 
[   ]lynis-bash-completion-3.1.1-r0.apk2024-10-25 20:06 3.0K 
[   ]lynis-doc-3.1.1-r0.apk2024-10-25 20:06 49K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:09 38K 
[   ]lzfse-1.0-r0.apk2024-10-25 20:06 22K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 20:06 3.4K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 20:06 13K 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 20:06 16K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 20:06 38M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 20:06 2.0K 
[   ]maddy-0.8.1-r0.apk2025-03-08 15:31 9.8M 
[   ]maddy-doc-0.8.1-r0.apk2025-03-08 15:31 2.3K 
[   ]maddy-openrc-0.8.1-r0.apk2025-03-08 15:31 1.9K 
[   ]maddy-vim-0.8.1-r0.apk2025-03-08 15:31 3.5K 
[   ]mage-1.13.0-r20.apk2025-02-10 01:32 1.5M 
[   ]maildir2rss-0.0.7-r2.apk2025-02-10 01:32 3.2M 
[   ]mailsec-check-0_git20210729-r23.apk2025-02-10 01:32 2.4M 
[   ]mailtutan-0.3.0-r0.apk2024-10-25 20:06 1.2M 
[   ]mailutils-3.18-r0.apk2025-01-09 05:56 202K 
[   ]mailutils-dev-3.18-r0.apk2025-01-09 05:56 2.8M 
[   ]mailutils-doc-3.18-r0.apk2025-01-09 05:56 160K 
[   ]mailutils-libs-3.18-r0.apk2025-01-09 05:56 487K 
[   ]mailutils-mh-3.18-r0.apk2025-01-09 05:56 1.3M 
[   ]mailutils-servers-3.18-r0.apk2025-01-09 05:56 75K 
[   ]makeclapman-2.4.4-r2.apk2025-02-10 01:32 1.2M 
[   ]makeclapman-doc-2.4.4-r2.apk2025-02-10 01:32 4.1K 
[   ]makedumpfile-1.7.6-r0.apk2024-10-28 04:12 171K 
[   ]makedumpfile-doc-1.7.6-r0.apk2024-10-28 04:12 24K 
[   ]makedumpfile-openrc-1.7.6-r0.apk2024-10-28 04:12 2.9K 
[   ]makeself-2.5.0-r0.apk2024-10-25 20:06 13K 
[   ]mame-0.251-r0.apk2024-10-25 20:06 88M 
[   ]mame-arcade-0.251-r0.apk2024-10-25 20:06 61M 
[   ]mame-common-0.251-r0.apk2024-10-25 20:06 2.7K 
[   ]mame-data-0.251-r0.apk2024-10-25 20:06 19M 
[   ]mame-doc-0.251-r0.apk2024-10-25 20:06 24K 
[   ]mame-lang-0.251-r0.apk2024-10-25 20:06 1.4M 
[   ]mame-mess-0.251-r0.apk2024-10-25 20:06 47M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 20:06 166K 
[   ]mame-tools-0.251-r0.apk2024-10-25 20:06 2.4M 
[   ]mangal-4.0.6-r15.apk2025-02-10 01:32 9.8M 
[   ]mangal-bash-completion-4.0.6-r15.apk2025-02-10 01:32 4.9K 
[   ]mangal-fish-completion-4.0.6-r15.apk2025-02-10 01:32 3.9K 
[   ]mangal-zsh-completion-4.0.6-r15.apk2025-02-10 01:32 3.9K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 20:06 2.8K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 20:06 14K 
[   ]manifest-tool-2.1.9-r0.apk2025-02-21 22:22 3.6M 
[   ]mapnik-3.1.0-r29.apk2024-11-07 23:41 10M 
[   ]mapnik-dev-3.1.0-r29.apk2024-11-07 23:41 454K 
[   ]mapnik-doc-3.1.0-r29.apk2024-11-07 23:41 135K 
[   ]mapserver-8.4.0-r0.apk2025-01-25 07:04 1.3M 
[   ]mapserver-dev-8.4.0-r0.apk2025-01-25 07:04 540K 
[   ]marxan-4.0.7-r1.apk2024-10-25 20:06 520K 
[   ]masky-0.2.0-r1.apk2024-10-25 20:06 278K 
[   ]masky-pyc-0.2.0-r1.apk2024-10-25 20:06 64K 
[   ]mat2-0.13.4-r3.apk2024-10-25 20:06 35K 
[   ]mat2-doc-0.13.4-r3.apk2024-10-25 20:06 7.7K 
[   ]mat2-pyc-0.13.4-r3.apk2024-10-25 20:06 54K 
[   ]materia-20210322-r1.apk2024-10-25 20:06 1.7K 
[   ]materia-chromium-20210322-r1.apk2024-10-25 20:06 5.7K 
[   ]materia-compact-20210322-r1.apk2024-10-25 20:06 1.7K 
[   ]materia-compact-chromium-20210322-r1.apk2024-10-25 20:06 5.7K 
[   ]materia-compact-gnome-shell-20210322-r1.apk2024-10-25 20:06 32K 
[   ]materia-compact-gtk2-20210322-r1.apk2024-10-25 20:06 38K 
[   ]materia-compact-gtk3-20210322-r1.apk2024-10-25 20:06 65K 
[   ]materia-dark-20210322-r1.apk2024-10-25 20:06 1.7K 
[   ]materia-dark-chromium-20210322-r1.apk2024-10-25 20:06 5.7K 
[   ]materia-dark-compact-20210322-r1.apk2024-10-25 20:06 1.7K 
[   ]materia-dark-compact-chromium-20210322-r1.apk2024-10-25 20:06 5.7K 
[   ]materia-dark-compact-gnome-shell-20210322-r1.apk2024-10-25 20:06 32K 
[   ]materia-dark-compact-gtk2-20210322-r1.apk2024-10-25 20:06 38K 
[   ]materia-dark-compact-gtk3-20210322-r1.apk2024-10-25 20:06 41K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:06 1.5K 
[   ]materia-dark-gnome-shell-20210322-r1.apk2024-10-25 20:06 32K 
[   ]materia-dark-gtk2-20210322-r1.apk2024-10-25 20:06 38K 
[   ]materia-dark-gtk3-20210322-r1.apk2024-10-25 20:06 41K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 20:06 1.8K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 20:06 30K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 20:06 503K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 20:06 22K 
[   ]materia-gnome-shell-20210322-r1.apk2024-10-25 20:06 32K 
[   ]materia-gtk-theme-20210322-r1.apk2024-10-25 20:06 151K 
[   ]materia-gtk2-20210322-r1.apk2024-10-25 20:06 38K 
[   ]materia-gtk3-20210322-r1.apk2024-10-25 20:06 65K 
[   ]materia-kde-20220823-r0.apk2024-10-25 20:06 19K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 20:06 1.8K 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 20:06 30K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 20:06 1.7M 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:06 1.5K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 20:06 30K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 20:06 20K 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 20:06 21K 
[   ]mautrix-bluesky-0.1.0-r2.apk2025-02-10 01:33 8.2M 
[   ]mautrix-bluesky-doc-0.1.0-r2.apk2025-02-10 01:33 13K 
[   ]mautrix-bluesky-openrc-0.1.0-r2.apk2025-02-10 01:33 1.9K 
[   ]mautrix-discord-0.7.2-r2.apk2025-02-10 01:33 6.2M 
[   ]mautrix-discord-doc-0.7.2-r2.apk2025-02-10 01:33 13K 
[   ]mautrix-discord-openrc-0.7.2-r2.apk2025-02-10 01:33 1.9K 
[   ]mautrix-twitter-0.2.1-r2.apk2025-02-10 01:33 6.2M 
[   ]mautrix-twitter-doc-0.2.1-r2.apk2025-02-10 01:33 13K 
[   ]mautrix-twitter-openrc-0.2.1-r2.apk2025-02-10 01:33 1.9K 
[   ]maxima-5.47.0-r8.apk2024-10-25 20:06 22M 
[   ]maxima-bash-completion-5.47.0-r8.apk2024-10-25 20:06 2.4K 
[   ]maxima-doc-5.47.0-r8.apk2024-10-25 20:06 761K 
[   ]maxima-doc-extra-5.47.0-r8.apk2024-10-25 20:06 10M 
[   ]maxima-emacs-5.47.0-r8.apk2024-10-25 20:06 111K 
[   ]mbrola-3.3-r0.apk2024-10-25 20:06 20K 
[   ]mcjoin-2.11-r0.apk2024-10-25 20:06 22K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 20:06 54K 
[   ]mcman-0.4.5-r0.apk2024-10-25 20:06 2.8M 
[   ]mcman-doc-0.4.5-r0.apk2024-10-25 20:06 14K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 20:06 12K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 20:06 4.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 20:06 9.1K 
[   ]mdbook-admonish-1.18.0-r0.apk2024-12-26 22:12 1.0M 
[   ]mdbook-alerts-0.7.0-r0.apk2025-01-11 12:08 759K 
[   ]mdbook-katex-0.9.2-r0.apk2025-01-26 01:33 1.2M 
[   ]mdbook-mermaid-0.14.0-r0.apk2024-12-23 17:24 1.6M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 20:06 934K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:04 3.1M 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:04 2.2K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:04 6.0K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:04 2.1K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:04 2.4K 
[   ]mdnsd-0.12-r1.apk2024-10-25 20:06 23K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 20:06 14K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 20:06 17K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 20:06 2.1K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 20:06 17K 
[   ]mdp-1.0.15-r1.apk2024-10-25 20:06 16K 
[   ]mdp-doc-1.0.15-r1.apk2024-10-25 20:06 3.7K 
[   ]mediascanner2-0.115-r2.apk2025-02-17 12:07 239K 
[   ]mediastreamer2-5.3.100-r0.apk2025-02-18 10:35 339K 
[   ]mediastreamer2-dev-5.3.100-r0.apk2025-02-18 10:35 110K 
[   ]mediastreamer2-doc-5.3.100-r0.apk2025-02-18 10:35 108K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-06 22:31 11K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 20:06 7.5K 
[   ]megatools-1.11.3.20250203-r0.apk2025-03-02 11:53 64K 
[   ]megatools-bash-completion-1.11.3.20250203-r0.apk2025-03-02 11:53 4.0K 
[   ]megatools-doc-1.11.3.20250203-r0.apk2025-03-02 11:53 52K 
[   ]megazeux-2.93b-r0.apk2024-10-25 20:06 1.1M 
[   ]megazeux-doc-2.93b-r0.apk2024-10-25 20:06 454K 
[   ]melange-0.20.0-r0.apk2025-02-17 12:07 15M 
[   ]melange-bash-completion-0.20.0-r0.apk2025-02-17 12:07 6.7K 
[   ]melange-fish-completion-0.20.0-r0.apk2025-02-17 12:07 4.3K 
[   ]melange-zsh-completion-0.20.0-r0.apk2025-02-17 12:07 4.0K 
[   ]meli-0.8.10-r0.apk2024-12-16 10:10 4.7M 
[   ]meli-doc-0.8.10-r0.apk2024-12-16 10:10 47K 
[   ]memdump-1.01-r1.apk2024-10-25 20:06 5.4K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 20:06 3.1K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 20:06 111K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 20:06 278K 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 20:06 42K 
[   ]merlin-4.14-r0.apk2024-10-25 20:06 14M 
[   ]merlin-dev-4.14-r0.apk2024-10-25 20:06 23M 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 20:06 29K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 20:06 28K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 8.2K 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.3K 
[   ]mesonlsp-4.3.7-r2.apk2025-03-12 12:24 2.1M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 14:31 49K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 14:31 1.9M 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 14:31 66K 
[   ]metalang99-1.13.3-r0.apk2024-10-25 20:06 54K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 20:06 972K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 20:06 50K 
[   ]mimalloc1-1.8.6-r0.apk2024-10-25 20:06 58K 
[   ]mimalloc1-debug-1.8.6-r0.apk2024-10-25 20:06 182K 
[   ]mimalloc1-dev-1.8.6-r0.apk2024-10-25 20:06 401K 
[   ]mimalloc1-insecure-1.8.6-r0.apk2024-10-25 20:06 53K 
[   ]mimedefang-3.6-r0.apk2025-03-02 12:17 159K 
[   ]mimedefang-doc-3.6-r0.apk2025-03-02 12:17 80K 
[   ]mimeo-2023-r2.apk2024-10-25 20:06 28K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 20:06 42K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 20:06 12K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 20:06 5.1K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 20:06 1.8K 
[   ]minimodem-0.24-r1.apk2024-10-25 20:06 20K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 20:06 5.2K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 20:06 329K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 20:06 1.9K 
[   ]mint-themes-2.1.1-r0.apk2024-10-25 20:06 2.2K 
[   ]mint-themes-doc-2.1.1-r0.apk2024-10-25 20:06 13K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.4K 
[   ]mint-x-theme-2.1.1-r0.apk2024-10-25 20:06 2.3K 
[   ]mint-x-theme-gtk2-2.1.1-r0.apk2024-10-25 20:06 490K 
[   ]mint-x-theme-gtk3-2.1.1-r0.apk2024-10-25 20:06 603K 
[   ]mint-x-theme-gtk4-2.1.1-r0.apk2024-10-25 20:06 510K 
[   ]mint-x-theme-metacity-2.1.1-r0.apk2024-10-25 20:06 6.1K 
[   ]mint-x-theme-xfwm4-2.1.1-r0.apk2024-10-25 20:06 35K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:11 72M 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:11 11K 
[   ]mint-y-theme-2.1.1-r0.apk2024-10-25 20:06 4.1K 
[   ]mint-y-theme-gtk2-2.1.1-r0.apk2024-10-25 20:06 656K 
[   ]mint-y-theme-gtk3-2.1.1-r0.apk2024-10-25 20:06 1.8M 
[   ]mint-y-theme-gtk4-2.1.1-r0.apk2024-10-25 20:06 1.6M 
[   ]mint-y-theme-metacity-2.1.1-r0.apk2024-10-25 20:06 58K 
[   ]mint-y-theme-xfwm4-2.1.1-r0.apk2024-10-25 20:06 207K 
[   ]mir-2.15.0-r6.apk2025-03-02 08:29 1.5M 
[   ]mir-demos-2.15.0-r6.apk2025-03-02 08:29 108K 
[   ]mir-dev-2.15.0-r6.apk2025-03-02 08:29 4.3M 
[   ]mir-test-tools-2.15.0-r6.apk2025-03-02 08:29 194K 
[   ]mirrorhall-0.1.1-r1.apk2025-01-14 08:03 26K 
[   ]mjpg-streamer-0_git20210220-r1.apk2024-10-25 20:06 198K 
[   ]mjpg-streamer-input-raspicam-0_git20210220-r1.apk2024-10-25 20:06 16K 
[   ]mkcert-1.4.4-r16.apk2025-02-10 01:33 1.6M 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 20:06 29K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 20:06 260K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 20:06 791K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 20:06 538K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 20:06 4.7K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 20:06 249K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 20:06 651K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 20:06 644K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 20:06 11K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 20:06 248K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 20:06 1.8K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 20:06 944K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 20:06 1.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 20:06 16K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 20:06 3.1K 
[   ]mkosi-25.3-r0.apk2025-02-01 22:34 251K 
[   ]mkosi-pyc-25.3-r0.apk2025-02-01 22:34 393K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 20:06 10K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 20:06 2.5K 
[   ]mlxl-0.1-r0.apk2024-10-25 20:06 5.5K 
[   ]mm-1.4.2-r1.apk2024-10-25 20:06 7.1K 
[   ]mm-common-1.0.5-r0.apk2024-10-25 20:06 473K 
[   ]mm-common-doc-1.0.5-r0.apk2024-10-25 20:06 32K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 20:06 12K 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 20:06 14K 
[   ]mmix-0_git20221025-r0.apk2024-10-25 20:06 177K 
[   ]mml-1.0.0-r0.apk2024-10-25 20:06 861K 
[   ]mml-bash-completion-1.0.0-r0.apk2024-10-25 20:06 2.3K 
[   ]mml-doc-1.0.0-r0.apk2024-10-25 20:06 3.9K 
[   ]mml-fish-completion-1.0.0-r0.apk2024-10-25 20:06 2.3K 
[   ]mml-zsh-completion-1.0.0-r0.apk2024-10-25 20:06 2.8K 
[   ]mmtc-0.3.2-r0.apk2024-10-25 20:06 508K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 20:06 32K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 20:06 60K 
[   ]mobroute-0.9.0-r2.apk2025-02-10 01:33 4.2M 
[   ]mobroute-doc-0.9.0-r2.apk2025-02-10 01:33 1.3M 
[   ]moccasin-0.1.3-r0.apk2024-10-25 20:06 1.8M 
[   ]moccasin-doc-0.1.3-r0.apk2024-10-25 20:06 5.3K 
[   ]mod_dnssd-0.6-r0.apk2024-10-25 20:06 8.2K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 20:06 323K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 20:06 3.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 20:06 129K 
[   ]moe-1.14-r0.apk2024-10-25 20:06 102K 
[   ]moe-doc-1.14-r0.apk2024-10-25 20:06 19K 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 20:06 114M 
[   ]monetdb-11.33.11-r4.apk2024-10-25 20:06 2.3M 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 20:06 77K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 20:06 321K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 20:06 167K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 20:06 89K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 90K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.7K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 20:06 34K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 20:06 7.1K 
[   ]moosefs-4.56.6-r0.apk2025-02-17 12:07 292K 
[   ]moosefs-cgi-4.56.6-r0.apk2025-02-17 12:07 121K 
[   ]moosefs-cgiserv-4.56.6-r0.apk2025-02-17 12:07 7.8K 
[   ]moosefs-cgiserv-openrc-4.56.6-r0.apk2025-02-17 12:07 1.9K 
[   ]moosefs-chunkserver-4.56.6-r0.apk2025-02-17 12:07 229K 
[   ]moosefs-chunkserver-openrc-4.56.6-r0.apk2025-02-17 12:07 1.6K 
[   ]moosefs-client-4.56.6-r0.apk2025-02-17 12:07 688K 
[   ]moosefs-doc-4.56.6-r0.apk2025-02-17 12:07 95K 
[   ]moosefs-master-4.56.6-r0.apk2025-02-17 12:07 378K 
[   ]moosefs-master-openrc-4.56.6-r0.apk2025-02-17 12:07 1.6K 
[   ]moosefs-metalogger-4.56.6-r0.apk2025-02-17 12:07 41K 
[   ]moosefs-metalogger-openrc-4.56.6-r0.apk2025-02-17 12:07 1.6K 
[   ]moosefs-static-4.56.6-r0.apk2025-02-17 12:07 718K 
[   ]motion-4.7.0-r0.apk2024-10-25 20:06 145K 
[   ]motion-doc-4.7.0-r0.apk2024-10-25 20:06 140K 
[   ]motion-lang-4.7.0-r0.apk2024-10-25 20:06 471K 
[   ]motion-openrc-4.7.0-r0.apk2024-10-25 20:06 2.3K 
[   ]mp3gain-1.6.2-r3.apk2025-01-03 16:47 33K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 20:06 13K 
[   ]mpdcron-0.3-r1.apk2024-10-25 20:06 96K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 20:06 56K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 20:06 13K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 20:06 2.9K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 20:06 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 20:06 15K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 20:06 2.3K 
[   ]mpdris2-rs-0.2.3-r0.apk2024-10-25 20:06 768K 
[   ]mpdris2-rs-doc-0.2.3-r0.apk2024-10-25 20:06 14K 
[   ]mpop-1.4.21-r0.apk2025-01-14 05:15 66K 
[   ]mpop-doc-1.4.21-r0.apk2025-01-14 05:15 33K 
[   ]mpop-lang-1.4.21-r0.apk2025-01-14 05:15 130K 
[   ]mpop-vim-1.4.21-r0.apk2025-01-14 05:15 2.6K 
[   ]mpv-sponsorblock-2.1.0-r0.apk2024-10-25 20:06 1.4M 
[   ]mqtt2prometheus-0.1.7-r13.apk2025-02-10 01:33 4.1M 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 20:06 5.2K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 20:06 203K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 20:06 10K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 20:06 54K 
[   ]msgpuck-2.0-r1.apk2024-10-25 20:06 1.2K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 20:06 22K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 20:06 7.3K 
[   ]msh-2.5.0-r9.apk2025-02-10 01:33 2.7M 
[   ]msh-openrc-2.5.0-r9.apk2025-02-10 01:33 1.9K 
[   ]mspdebug-0.25-r1.apk2024-10-25 20:06 168K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 20:06 14K 
[   ]mtree-portable-0_git20220519-r0.apk2024-10-25 20:06 25K 
[   ]mtree-portable-doc-0_git20220519-r0.apk2024-10-25 20:06 12K 
[   ]muon-0.4.0-r0.apk2025-02-12 14:42 312K 
[   ]muon-doc-0.4.0-r0.apk2025-02-12 14:42 73K 
[   ]muon-wrap-0.4.0-r0.apk2025-02-12 14:42 313K 
[   ]muse-4.2.1-r1.apk2024-10-25 20:06 5.8M 
[   ]muse-doc-4.2.1-r1.apk2024-10-25 20:06 4.1M 
[   ]musikcube-3.0.4-r1.apk2025-01-26 20:17 2.1M 
[   ]musikcube-dev-3.0.4-r1.apk2025-01-26 20:17 19K 
[   ]musikcube-plugin-all-3.0.4-r1.apk2025-01-26 20:17 1.3K 
[   ]musikcube-plugin-httpdatastream-3.0.4-r1.apk2025-01-26 20:17 69K 
[   ]musikcube-plugin-mpris-3.0.4-r1.apk2025-01-26 20:17 19K 
[   ]musikcube-plugin-openmpt-3.0.4-r1.apk2025-01-26 20:17 26K 
[   ]musikcube-plugin-server-3.0.4-r1.apk2025-01-26 20:17 353K 
[   ]musikcube-plugin-stockencoders-3.0.4-r1.apk2025-01-26 20:17 18K 
[   ]musikcube-plugin-supereqdsp-3.0.4-r1.apk2025-01-26 20:17 23K 
[   ]musikcube-plugin-taglibreader-3.0.4-r1.apk2025-01-26 20:17 31K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 20:06 66K 
[   ]mypaint-2.0.1-r1.apk2024-10-25 20:06 3.8M 
[   ]mypaint-lang-2.0.1-r1.apk2024-10-25 20:06 1.2M 
[   ]mypaint-pyc-2.0.1-r1.apk2024-10-25 20:06 1.1M 
[   ]n30f-2.0-r3.apk2024-10-25 20:06 6.7K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 20:06 2.2K 
[   ]nauty-2.8.9-r0.apk2024-10-25 20:06 5.0M 
[   ]nauty-dev-2.8.9-r0.apk2024-10-25 20:06 2.6M 
[   ]nauty-libs-2.8.9-r0.apk2024-10-25 20:06 1.9M 
[   ]nb-7.15.1-r0.apk2025-02-03 23:26 151K 
[   ]nb-bash-completion-7.15.1-r0.apk2025-02-03 23:26 2.9K 
[   ]nb-doc-7.15.1-r0.apk2025-02-03 23:26 76K 
[   ]nb-fish-completion-7.15.1-r0.apk2025-02-03 23:26 2.7K 
[   ]nb-full-7.15.1-r0.apk2025-02-03 23:26 1.2K 
[   ]nb-zsh-completion-7.15.1-r0.apk2025-02-03 23:26 2.9K 
[   ]nbsdgames-5-r0.apk2024-10-25 20:06 99K 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 20:06 9.4K 
[   ]neard-0.19-r0.apk2024-10-25 20:06 135K 
[   ]neard-dev-0.19-r0.apk2024-10-25 20:06 11K 
[   ]neard-doc-0.19-r0.apk2024-10-25 20:06 5.6K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 20:06 1.7K 
[   ]neko-2.3.0-r0.apk2024-11-20 22:26 432K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 22:26 10K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 22:26 20K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-25 20:06 22K 
[   ]neocmakelsp-0.8.19-r0.apk2025-02-27 18:26 1.7M 
[   ]neocmakelsp-bash-completion-0.8.19-r0.apk2025-02-27 18:26 2.3K 
[   ]neocmakelsp-doc-0.8.19-r0.apk2025-02-27 18:26 5.5K 
[   ]neocmakelsp-fish-completion-0.8.19-r0.apk2025-02-27 18:26 2.2K 
[   ]neocmakelsp-zsh-completion-0.8.19-r0.apk2025-02-27 18:26 2.5K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 21:46 86K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 21:46 6.2K 
[   ]netdiscover-0.10-r0.apk2024-10-25 20:06 488K 
[   ]netdiscover-doc-0.10-r0.apk2024-10-25 20:06 22K 
[   ]netscanner-0.5.1-r1.apk2024-10-25 20:06 3.6M 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-25 20:06 3.3K 
[   ]netsed-1.3-r3.apk2024-10-25 20:06 9.9K 
[   ]netsurf-3.11-r0.apk2024-10-25 20:06 2.0M 
[   ]netsurf-doc-3.11-r0.apk2024-10-25 20:06 4.4K 
[   ]netsurf-framebuffer-3.11-r0.apk2024-10-25 20:06 2.6M 
[   ]netsurf-framebuffer-doc-3.11-r0.apk2024-10-25 20:06 3.9K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 20:06 19K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 20:06 24K 
[   ]nextpnr-0.7-r0.apk2024-10-25 20:06 1.4K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 20:06 25M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 20:06 709K 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 20:06 1.4M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 20:06 69M 
[   ]nfoview-2.0.1-r0.apk2024-10-25 20:06 39K 
[   ]nfoview-doc-2.0.1-r0.apk2024-10-25 20:06 8.0K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 20:06 713K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 20:06 21K 
[   ]nicotine-plus-3.3.7-r0.apk2024-12-16 14:21 1.5M 
[   ]nicotine-plus-doc-3.3.7-r0.apk2024-12-16 14:21 2.5K 
[   ]nicotine-plus-lang-3.3.7-r0.apk2024-12-16 14:21 661K 
[   ]nicotine-plus-pyc-3.3.7-r0.apk2024-12-16 14:21 781K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 20:06 501K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 20:06 190K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 20:06 433K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 20:06 3.2K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 20:06 8.8K 
[   ]nixpacks-0.1.7-r1.apk2024-10-25 20:06 898K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 20:06 13K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 20:06 2.9K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 20:06 7.0K 
[   ]nlopt-2.10.0-r0.apk2025-02-06 04:42 173K 
[   ]nlopt-dev-2.10.0-r0.apk2025-02-06 04:42 12K 
[   ]nlopt-doc-2.10.0-r0.apk2025-02-06 04:42 23K 
[   ]nlopt-guile-2.10.0-r0.apk2025-02-06 04:42 41K 
[   ]nlopt-octave-2.10.0-r0.apk2025-02-06 04:42 26K 
[   ]nm-tray-0.5.0-r0.apk2024-10-25 20:06 96K 
[   ]nm-tray-lang-0.5.0-r0.apk2024-10-25 20:06 27K 
[   ]nmap-parse-output-1.5.1-r0.apk2024-10-25 20:06 21K 
[   ]nmap-parse-output-bash-completion-1.5.1-r0.apk2024-10-25 20:06 1.7K 
[   ]nmap-parse-output-doc-1.5.1-r0.apk2024-10-25 20:06 807K 
[   ]nmon-16q-r0.apk2024-10-25 20:06 82K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 20:06 384K 
[   ]noggin-0.1-r14.apk2025-02-10 01:33 1.3M 
[   ]noggin-doc-0.1-r14.apk2025-02-10 01:33 2.9K 
[   ]noggin-model-0.1-r0.apk2024-10-25 20:06 12M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 20:06 1.7M 
[   ]noice-0.8-r1.apk2024-10-25 20:06 8.7K 
[   ]noice-doc-0.8-r1.apk2024-10-25 20:06 3.4K 
[   ]nom-2.8.0-r0.apk2025-03-05 20:16 6.8M 
[   ]nom-doc-2.8.0-r0.apk2025-03-05 20:16 4.0K 
[   ]normaliz-3.10.4-r0.apk2024-10-30 13:45 39K 
[   ]normaliz-dev-3.10.4-r0.apk2024-10-30 13:45 72K 
[   ]normaliz-libs-3.10.4-r0.apk2024-10-30 13:45 2.6M 
[   ]notification-daemon-3.20.0-r0.apk2024-10-25 20:06 58K 
[   ]nsh-0.4.2-r1.apk2024-10-25 20:06 615K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 20:06 3.4M 
[   ]nsnake-3.0.0-r0.apk2024-10-25 20:06 8.7K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 20:06 2.6K 
[   ]nsq-1.3.0-r7.apk2025-02-10 01:33 23M 
[   ]ntpd-rs-1.4.0-r0.apk2024-12-14 19:00 2.4M 
[   ]ntpd-rs-doc-1.4.0-r0.apk2024-12-14 19:00 23K 
[   ]ntpd-rs-openrc-1.4.0-r0.apk2024-12-14 19:00 1.8K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 20:06 220K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 20:06 42K 
[   ]nullmailer-2.2-r4.apk2024-10-25 20:06 93K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 20:06 10K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 20:06 1.6K 
[   ]numbat-1.9.0-r0.apk2024-10-25 20:06 1.1M 
[   ]numbat-doc-1.9.0-r0.apk2024-10-25 20:06 25K 
[   ]nuzzle-1.6-r0.apk2025-01-25 07:04 12K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-25 07:04 3.2K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 20:06 55K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 20:06 7.8K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 20:06 4.2K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 20:06 3.3K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 20:06 1.8K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 20:06 10K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 20:06 3.5K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 20:06 2.6K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 20:06 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 20:06 2.0K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 20:06 3.8K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 20:06 2.0K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 20:06 10K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 20:06 2.8K 
[   ]nvim-lualine-0.0.0_git20241101-r0.apk2024-11-13 23:16 60K 
[   ]nvim-lualine-doc-0.0.0_git20241101-r0.apk2024-11-13 23:16 31K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 20:06 46K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 20:06 21K 
[   ]nvim-plenary-0.1.4_git20240917-r0.apk2024-11-11 04:07 102K 
[   ]nvim-plenary-doc-0.1.4_git20240917-r0.apk2024-11-11 04:07 10K 
[   ]nvim-treesitter-0.9.3-r0.apk2024-11-04 03:04 388K 
[   ]nvim-treesitter-doc-0.9.3-r0.apk2024-11-04 03:04 30K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:57 27K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:57 7.1K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 20:06 13K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 20:06 4.4K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 20:06 1.8K 
[   ]nvtop-3.1.0-r0.apk2024-10-25 20:06 62K 
[   ]nvtop-doc-3.1.0-r0.apk2024-10-25 20:06 3.5K 
[   ]nwg-bar-0.1.6-r7.apk2025-02-10 01:33 1.5M 
[   ]nwg-displays-0.3.13-r1.apk2024-10-25 20:06 24K 
[   ]nwg-displays-pyc-0.3.13-r1.apk2024-10-25 20:06 35K 
[   ]nwg-dock-0.3.9-r8.apk2025-02-10 01:33 1.6M 
[   ]nwg-panel-0.9.62-r0.apk2025-01-25 07:04 275K 
[   ]nwg-panel-doc-0.9.62-r0.apk2025-01-25 07:04 4.2K 
[   ]nwg-panel-pyc-0.9.62-r0.apk2025-01-25 07:04 253K 
[   ]nymphcast-mediaserver-0.1-r3.apk2024-10-25 20:06 108K 
[   ]nymphcast-mediaserver-nftables-0.1-r3.apk2024-10-25 20:06 1.7K 
[   ]nzbget-24.7-r0.apk2025-03-09 14:05 4.7M 
[   ]nzbget-openrc-24.7-r0.apk2025-03-09 14:05 2.0K 
[   ]oauth2-proxy-7.6.0-r8.apk2025-02-10 01:33 7.5M 
[   ]oauth2-proxy-openrc-7.6.0-r8.apk2025-02-10 01:33 2.0K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 20:06 231K 
[   ]oblibs-0.3.2.1-r0.apk2025-01-25 16:25 30K 
[   ]oblibs-dev-0.3.2.1-r0.apk2025-01-25 16:25 59K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 20:06 461K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 20:06 821K 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 20:06 605K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 20:06 1.0M 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 20:06 176K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 20:06 341K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 20:06 84K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 20:06 159K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 20:06 316K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 20:06 574K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 20:06 286K 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 20:06 180K 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 20:06 6.7M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 20:06 1.9M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 20:06 4.6M 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 20:06 9.6M 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 20:06 89K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 20:06 175K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 20:06 12K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 20:06 12K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 20:06 46K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 20:06 91K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 20:06 564K 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 20:06 366K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 20:06 4.6M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 20:06 551K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 20:06 4.0M 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 20:06 580K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 20:06 461K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 20:06 351K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 20:06 28K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 20:06 40K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 20:06 395K 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 20:06 447K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 20:06 1.5M 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 20:06 165K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 20:06 449K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 20:06 219K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 20:06 162K 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 20:06 12K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 20:06 114K 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 20:06 220K 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 20:06 1.3M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 20:06 5.1M 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 20:06 2.5M 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 20:06 104K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 20:06 189K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 20:06 469K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 20:06 241K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 20:06 20K 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 20:06 677K 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 20:06 1.3M 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 20:06 7.7M 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 20:06 77K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 20:06 104K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 20:06 291K 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 20:06 549K 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 20:06 3.5M 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 20:06 6.7M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 20:06 23K 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 20:06 4.1M 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 20:06 709K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 20:06 878K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 20:06 852K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 20:06 136K 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 20:06 313K 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 20:06 2.2M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 20:06 4.2M 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 20:06 11M 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 20:06 74K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 20:06 141K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 20:06 627K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 20:06 333K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 20:06 27K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 20:06 45K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 20:06 59K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 20:06 111K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 20:06 69K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 20:06 120K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 20:06 582K 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 20:06 778K 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 20:06 1.3M 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 20:06 1.7M 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 20:06 43K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 20:06 83K 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 20:06 637K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 20:06 1.3M 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 20:06 11K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 20:06 32K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 20:06 52K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 20:06 314K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 20:06 598K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 20:06 16K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 20:06 167K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 20:06 429K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 20:06 191K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 20:06 126K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 20:06 139K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 20:06 103K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 20:06 323K 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 20:06 613K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 20:06 3.4M 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 20:06 725K 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 20:06 19K 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 20:06 3.0M 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 20:06 12M 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 20:06 36K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 20:06 75K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 20:06 84K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 20:06 149K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 20:06 39K 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 20:06 59K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 20:06 2.4M 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 20:06 29K 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 20:06 53K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 20:06 4.9M 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 20:06 677K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 20:06 14K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 20:06 18K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 20:06 128K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 20:06 274K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 20:06 314K 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 20:06 562K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 20:06 1.8M 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 20:06 770K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 20:06 52K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 20:06 87K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 20:06 119K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 20:06 67K 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 20:06 445K 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 20:06 7.9M 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 20:06 14M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 20:06 881K 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 20:06 1.5M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 20:06 2.9M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 20:06 1.5M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 20:06 3.4M 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 20:06 3.8M 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 20:06 8.8K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 20:06 190K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 20:06 348K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 20:06 175K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 20:06 99K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 20:06 14K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 20:06 114K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 20:06 85K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 20:06 76K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 20:06 162K 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 20:06 490K 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 20:06 1.0M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 20:06 1.2M 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 20:06 3.1M 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 20:06 23K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 20:06 40K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 20:06 132K 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 20:06 256K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 20:06 3.8M 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 20:06 120K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 20:06 29K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 20:06 47K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 20:06 223K 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 20:06 321K 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 20:06 1.0M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 20:06 2.1M 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:19 1.3M 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:19 898K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:19 610K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 20:06 49K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 20:06 91K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 20:06 245K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 20:06 450K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 20:06 69K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 20:06 96K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 20:06 185K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 20:06 296K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 20:06 145K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 20:06 28K 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 20:06 34K 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 20:06 1.1M 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 20:06 5.5M 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 20:06 110K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 20:06 193K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 20:06 20K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 20:06 43K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 20:06 12K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 20:06 20K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 20:06 24K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 20:06 31K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 20:06 7.0K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 20:06 5.4K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 20:06 10K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 20:06 8.2K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 20:06 7.2K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 20:06 6.5K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 20:06 156K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 20:06 259K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 20:06 49K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 20:06 48K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 20:06 308K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 20:06 608K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 20:06 256K 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 20:06 95K 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 20:06 1.1M 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 20:06 7.8M 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 20:06 324K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 20:06 538K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 20:06 638K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 20:06 461K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 20:06 529K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 20:06 157K 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 20:06 261K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 20:06 1.6M 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 20:06 8.0K 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 20:06 330K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 20:06 2.7M 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 20:06 214K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 20:06 444K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 20:06 780K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 20:06 284K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 20:06 527K 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 20:06 537K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 20:06 1.0M 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 20:06 398K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 20:06 938K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 20:06 17K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 20:06 25K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 20:06 148K 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 20:06 303K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 20:06 3.7M 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 20:06 19K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 20:06 9.5K 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 20:06 11K 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 20:06 5.0M 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 20:06 1.3M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 20:06 580K 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 20:06 1.1M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 20:06 13M 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 20:06 16M 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 20:06 97K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 20:06 174K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 20:06 113K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 20:06 76K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 20:06 54K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 20:06 94K 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 20:06 668K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 20:06 1.3M 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 20:06 326K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 20:06 3.6K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 20:06 14K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 20:06 18K 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 20:06 524K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 20:06 1.0M 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 20:06 283K 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 20:06 198K 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 20:06 15M 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 20:06 29M 
[   ]ocaml-result-1.5-r2.apk2024-10-25 20:06 9.1K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 20:06 7.9K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 20:06 38K 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 20:06 35K 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 20:06 4.1M 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 20:06 1.5M 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 20:06 14K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 20:06 20K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 20:06 486K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 20:06 822K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 20:06 171K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 20:06 335K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 20:06 82K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 20:06 240K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 20:06 94K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 20:06 209K 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 20:06 4.2K 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 20:06 6.1M 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 20:06 9.9M 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 20:06 43K 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 20:06 78K 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 20:06 1.2M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 20:06 2.4M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 20:06 1.2M 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 20:06 2.4M 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 20:06 5.6K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 20:06 613K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 20:06 407K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 20:06 16K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 20:06 25K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 20:06 919K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 20:06 576K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 20:06 47K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 20:06 78K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 20:06 62K 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 20:06 109K 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 20:06 1.4M 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 20:06 4.3M 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 20:06 349K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 20:06 763K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 20:06 279K 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 20:06 172K 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 20:06 5.1M 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 20:06 1.1M 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 20:06 45K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 20:06 25K 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 20:06 441K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 20:06 1.1M 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 20:06 222K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 20:06 92K 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 20:06 46K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 20:06 1.3M 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 20:06 620K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 20:06 55K 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 20:06 836K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 20:06 1.5M 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 20:06 217K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 20:06 386K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 20:06 556K 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 20:06 100K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 20:06 8.9M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 20:06 777K 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 20:06 1.0M 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 20:06 1.7M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 20:06 518K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 20:06 1.1M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 20:06 17M 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 20:06 5.9M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 20:06 50K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 20:06 1.1M 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 20:06 45K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 20:06 69K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 20:06 1.1M 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 20:06 17K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 20:06 3.7K 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 20:06 2.4K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 20:06 5.5M 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 20:06 31K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 20:06 6.3K 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 20:06 3.0K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 20:06 4.7K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 20:06 3.3K 
[   ]octoprint-filecheck-2024.3.27-r1.apk2024-10-25 20:06 28K 
[   ]octoprint-filecheck-pyc-2024.3.27-r1.apk2024-10-25 20:06 11K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 20:06 29K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 20:06 18K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.7K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 20:06 31K 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 20:06 13K 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]ode-0.16.6-r0.apk2025-01-25 07:04 559K 
[   ]oil-0.21.0-r0.apk2024-10-25 20:06 1.4M 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 20:06 7.0K 
[   ]oils-for-unix-0.27.0-r0.apk2025-02-27 17:09 672K 
[   ]oils-for-unix-bash-0.27.0-r0.apk2025-02-27 17:09 1.5K 
[   ]oils-for-unix-binsh-0.27.0-r0.apk2025-02-27 17:09 1.5K 
[   ]oils-for-unix-doc-0.27.0-r0.apk2025-02-27 17:09 6.9K 
[   ]ol-2.4-r0.apk2024-10-25 20:06 1.0M 
[   ]ol-doc-2.4-r0.apk2024-10-25 20:06 2.6K 
[   ]olab-0.1.8-r0.apk2024-10-25 20:06 3.4M 
[   ]olsrd-0.9.8-r3.apk2024-10-25 20:06 168K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 20:06 25K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 20:06 1.9K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 20:06 183K 
[   ]onnxruntime-1.21.0-r0.apk2025-03-11 20:40 5.2M 
[   ]onnxruntime-dev-1.21.0-r0.apk2025-03-11 20:40 99K 
[   ]opcr-policy-0.3.0-r1.apk2025-02-10 01:33 8.3M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 20:06 9.9M 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:56 177K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:56 71K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:56 3.0K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:56 556K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:31 41K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:31 6.3K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 20:06 2.0M 
[   ]openmg-0.0.9-r0.apk2024-10-25 20:06 101K 
[   ]openocd-esp32-0_git20230921-r5.apk2024-10-25 20:06 1.8M 
[   ]openocd-esp32-dev-0_git20230921-r5.apk2024-10-25 20:06 3.4K 
[   ]openocd-esp32-doc-0_git20230921-r5.apk2024-10-25 20:06 3.3K 
[   ]openocd-esp32-udev-rules-0_git20230921-r5.apk2024-10-25 20:06 3.4K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 20:06 1.7M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 20:06 1.5K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 20:06 4.0M 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 20:06 3.4K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 20:06 3.3K 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 20:06 3.4K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 20:06 1.6M 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 20:06 3.7K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 20:06 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 20:06 3.3K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 20:06 60K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 20:06 18K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 20:06 102K 
[   ]openslide-3.4.1-r3.apk2024-10-25 20:06 84K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 20:06 6.9K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 20:06 4.9K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 20:06 10K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 20:06 148K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 20:06 9.4K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 20:06 2.0K 
[   ]opentelemetry-cpp-1.19.0-r0.apk2025-02-25 10:17 544K 
[   ]opentelemetry-cpp-dev-1.19.0-r0.apk2025-02-25 10:17 492K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.19.0-r0.apk2025-02-25 10:17 39K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r0.apk2025-02-25 10:17 51K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.19.0-r0.apk2025-02-25 10:17 79K 
[   ]opentelemetry-cpp-exporter-zipkin-1.19.0-r0.apk2025-02-25 10:17 46K 
[   ]openttd-14.1-r0.apk2024-10-25 20:06 5.8M 
[   ]openttd-doc-14.1-r0.apk2024-10-25 20:06 264K 
[   ]openttd-lang-14.1-r0.apk2024-10-25 20:06 3.5M 
[   ]openttd-opengfx-7.1-r0.apk2024-10-25 20:06 3.3M 
[   ]openttd-openmsx-0.4.2-r0.apk2024-10-25 20:06 129K 
[   ]openttd-opensfx-1.0.3-r0.apk2024-10-25 20:06 11M 
[   ]openvpn3-3.8.5-r1.apk2025-02-17 12:07 377K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-17 12:07 667K 
[   ]openwsman-2.8.1-r0.apk2025-02-13 07:44 46K 
[   ]openwsman-dev-2.8.1-r0.apk2025-02-13 07:44 56K 
[   ]openwsman-doc-2.8.1-r0.apk2025-02-13 07:44 2.3K 
[   ]openwsman-libs-2.8.1-r0.apk2025-02-13 07:44 305K 
[   ]opkg-0.7.0-r0.apk2024-10-25 20:06 10K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 20:06 106K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 20:06 7.7K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 20:06 76K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 20:06 25K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 20:06 3.6K 
[   ]opmsg-1.84-r1.apk2024-10-25 20:06 256K 
[   ]orage-4.20.0-r0.apk2025-01-31 14:33 573K 
[   ]orage-lang-4.20.0-r0.apk2025-01-31 14:33 1.2M 
[   ]osmctools-0.9-r0.apk2024-10-25 20:06 134K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 20:06 102K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 20:06 48K 
[   ]otpclient-4.0.2-r0.apk2024-10-25 20:06 112K 
[   ]otpclient-doc-4.0.2-r0.apk2024-10-25 20:06 3.6K 
[   ]otrs-6.0.48-r2.apk2024-10-25 20:06 29M 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 20:06 4.0K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 20:06 2.4K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 20:06 3.9M 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 20:06 795K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 20:06 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 20:06 1.8K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 20:06 1.9K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 20:06 107K 
[   ]ouch-0.5.1-r0.apk2024-10-25 20:06 1.1M 
[   ]ouch-bash-completion-0.5.1-r0.apk2024-10-25 20:06 2.3K 
[   ]ouch-doc-0.5.1-r0.apk2024-10-25 20:06 3.6K 
[   ]ouch-fish-completion-0.5.1-r0.apk2024-10-25 20:06 2.3K 
[   ]ouch-zsh-completion-0.5.1-r0.apk2024-10-25 20:06 2.8K 
[   ]ovn-24.03.1-r0.apk2024-10-25 20:06 6.5M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 20:07 26M 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 20:07 9.9M 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 20:07 512K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 20:07 4.2K 
[   ]ovos-audio-0.3.1-r0.apk2024-11-21 13:31 136K 
[   ]ovos-audio-pyc-0.3.1-r0.apk2024-11-21 13:31 36K 
[   ]ovos-core-0.2.17-r0.apk2024-11-25 12:41 369K 
[   ]ovos-core-pyc-0.2.17-r0.apk2024-11-25 12:41 206K 
[   ]ovos-gui-1.1.0-r0.apk2024-11-25 12:41 31K 
[   ]ovos-gui-pyc-1.1.0-r0.apk2024-11-25 12:41 38K 
[   ]ovos-messagebus-0.0.8-r0.apk2024-11-25 12:41 9.8K 
[   ]ovos-messagebus-pyc-0.0.8-r0.apk2024-11-25 12:41 6.6K 
[   ]ovos-phal-0.2.7-r0.apk2024-11-21 13:31 10K 
[   ]ovos-phal-pyc-0.2.7-r0.apk2024-11-21 13:31 7.2K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 20:07 46K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 20:07 4.0K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 20:07 12K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 20:07 6.5K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 20:07 32M 
[   ]p0f-3.09b-r3.apk2024-10-25 20:07 88K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 20:07 25K 
[   ]p910nd-0.97-r2.apk2024-10-25 20:07 7.2K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 20:07 3.0K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 20:07 1.8K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 20:07 728K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 20:07 3.6K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 20:07 18K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 20:07 21K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 20:07 24K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 20:07 8.3K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 20:07 8.4K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 20:07 2.9K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 20:07 45K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 20:07 6.4K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 20:07 83K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 20:07 1.7K 
[   ]paperkey-1.6-r2.apk2024-10-25 20:07 16K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 20:07 4.5K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:07 29K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:07 38K 
[   ]par-1.53.0-r1.apk2024-10-25 20:07 14K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 20:07 30K 
[   ]par2cmdline-turbo-1.2.0-r0.apk2024-12-10 16:35 182K 
[   ]par2cmdline-turbo-doc-1.2.0-r0.apk2024-12-10 16:35 5.3K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 20:07 224K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 20:07 25K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 20:07 49K 
[   ]pari-2.17.2-r0.apk2025-03-06 05:56 585K 
[   ]pari-dev-2.17.2-r0.apk2025-03-06 05:56 106K 
[   ]pari-doc-2.17.2-r0.apk2025-03-06 05:56 924K 
[   ]pari-libs-2.17.2-r0.apk2025-03-06 05:56 4.0M 
[   ]parse-changelog-0.6.8-r0.apk2024-10-25 20:07 548K 
[   ]pash-2.3.0-r2.apk2024-10-25 20:07 4.3K 
[   ]pass2csv-1.1.1-r1.apk2024-10-25 20:07 8.4K 
[   ]pass2csv-pyc-1.1.1-r1.apk2024-10-25 20:07 7.5K 
[   ]passage-1.7.4_alpha2-r0.apk2024-12-24 11:03 8.1K 
[   ]passage-bash-completion-1.7.4_alpha2-r0.apk2024-12-24 11:03 3.0K 
[   ]passage-fish-completion-1.7.4_alpha2-r0.apk2024-12-24 11:03 2.7K 
[   ]passage-zsh-completion-1.7.4_alpha2-r0.apk2024-12-24 11:03 3.0K 
[   ]pastel-0.10.0-r0.apk2024-10-25 20:07 435K 
[   ]pastel-bash-completion-0.10.0-r0.apk2024-10-25 20:07 3.0K 
[   ]pastel-fish-completion-0.10.0-r0.apk2024-10-25 20:07 3.2K 
[   ]pastel-zsh-completion-0.10.0-r0.apk2024-10-25 20:07 4.9K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 20:07 44K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 20:07 3.3K 
[   ]pcl-1.14.1-r0.apk2025-02-17 12:07 434K 
[   ]pcl-dev-1.14.1-r0.apk2025-02-17 12:07 387K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-17 12:07 1.2M 
[   ]pdal-python-plugins-1.6.2-r0.apk2025-01-13 06:32 225K 
[   ]pdf2svg-0.2.3-r1.apk2024-10-25 20:07 4.5K 
[   ]pdfcpu-0.9.1-r2.apk2025-02-10 01:33 4.4M 
[   ]pdfcrack-0.20-r0.apk2024-10-25 20:07 24K 
[   ]pdm-2.18.2-r0.apk2024-10-25 20:07 227K 
[   ]pdm-pyc-2.18.2-r0.apk2024-10-25 20:07 482K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 18:56 64K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 18:56 43K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 18:56 3.7K 
[   ]peervpn-0.044-r5.apk2024-10-25 20:07 40K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 20:07 1.8K 
[   ]peg-0.1.18-r1.apk2024-10-25 20:07 34K 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 20:07 14K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 20:07 1.8M 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 20:07 298K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 20:07 8.1K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 20:07 17K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 20:07 9.6K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 20:07 29K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 20:07 5.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 20:07 6.1K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 20:07 4.6K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 20:07 79K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 20:07 148K 
[   ]perl-algorithm-permute-0.17-r0.apk2024-10-25 20:07 11K 
[   ]perl-algorithm-permute-doc-0.17-r0.apk2024-10-25 20:07 5.0K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 20:07 5.6K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 20:07 5.6K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 20:07 12K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 20:07 10K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 20:07 16K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 20:07 6.8K 
[   ]perl-autobox-3.0.2-r0.apk2024-10-25 20:07 18K 
[   ]perl-autobox-doc-3.0.2-r0.apk2024-10-25 20:07 8.8K 
[   ]perl-b-hooks-op-check-0.22-r0.apk2024-10-25 20:07 6.3K 
[   ]perl-b-hooks-op-check-doc-0.22-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-barcode-zbar-0.10-r3.apk2024-10-25 20:07 29K 
[   ]perl-barcode-zbar-doc-0.10-r3.apk2024-10-25 20:07 13K 
[   ]perl-bareword-filehandles-0.007-r0.apk2024-10-25 20:07 5.6K 
[   ]perl-bareword-filehandles-doc-0.007-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 20:07 3.9K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 20:07 3.6K 
[   ]perl-bsd-resource-1.2911-r10.apk2024-10-25 20:07 19K 
[   ]perl-bsd-resource-doc-1.2911-r10.apk2024-10-25 20:07 7.9K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 20:07 14K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 20:07 12K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 20:07 2.9K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-cairo-1.109-r4.apk2024-10-25 20:07 69K 
[   ]perl-cairo-doc-1.109-r4.apk2024-10-25 20:07 14K 
[   ]perl-cairo-gobject-1.005-r4.apk2024-10-25 20:07 6.1K 
[   ]perl-cairo-gobject-doc-1.005-r4.apk2024-10-25 20:07 2.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 20:07 6.8K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 20:07 6.1K 
[   ]perl-cgi-simple-1.281-r0.apk2025-03-12 14:13 56K 
[   ]perl-cgi-simple-doc-1.281-r0.apk2025-03-12 14:13 43K 
[   ]perl-check-unitcheck-0.13-r1.apk2024-10-25 20:07 5.7K 
[   ]perl-check-unitcheck-doc-0.13-r1.apk2024-10-25 20:07 3.6K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 20:07 12K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 20:07 7.5K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 20:07 9.4K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 20:07 5.5K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 20:07 5.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 20:07 9.3K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 20:07 3.9K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 20:07 4.1K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:54 13K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:54 12K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 20:07 7.3K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-color-rgb-util-0.607-r0.apk2024-10-25 20:07 9.4K 
[   ]perl-color-rgb-util-doc-0.607-r0.apk2024-10-25 20:07 7.4K 
[   ]perl-conf-libconfig-1.0.3-r0.apk2024-10-25 20:07 23K 
[   ]perl-conf-libconfig-doc-1.0.3-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 20:07 7.4K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 20:07 6.9K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 20:07 8.7K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 20:07 7.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 20:07 3.8K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 20:07 4.2K 
[   ]perl-cpan-changes-0.500004-r0.apk2024-10-25 20:07 14K 
[   ]perl-cpan-changes-doc-0.500004-r0.apk2024-10-25 20:07 18K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 20:07 11K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 20:07 8.8K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 20:07 6.9K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 20:07 6.3K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 20:07 23K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 20:07 33K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 20:07 12K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 20:07 8.2K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 20:07 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 20:07 15K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 20:07 4.9K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 20:07 5.3K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 20:07 9.7K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 20:07 8.4K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:54 163K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:54 301K 
[   ]perl-data-checks-0.10-r0.apk2024-10-25 20:07 21K 
[   ]perl-data-checks-doc-0.10-r0.apk2024-10-25 20:07 8.2K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 20:07 5.8K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 20:07 8.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 20:07 5.8K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 20:07 23K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 20:07 29K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 20:07 14K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 20:07 9.3K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 3.2K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.8K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:25 18K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:25 12K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.4K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 20:07 2.5K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 20:07 12K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 20:07 15K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 20:07 5.9K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 20:07 5.3K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:26 355K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 05:59 7.9K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 05:59 9.5K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:26 421K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-19 22:34 48K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-19 22:34 121K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:37 15K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:37 22K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 20:07 4.3K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 20:07 7.5K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 20:07 8.0K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 20:07 8.4K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:37 18K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 20:07 11K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-devel-leak-0.03-r13.apk2024-10-25 20:07 6.4K 
[   ]perl-devel-leak-doc-0.03-r13.apk2024-10-25 20:07 3.3K 
[   ]perl-devel-refcount-0.10-r1.apk2024-10-25 20:07 6.0K 
[   ]perl-devel-refcount-doc-0.10-r1.apk2024-10-25 20:07 4.2K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 20:07 5.2K 
[   ]perl-digest-crc-0.24-r1.apk2024-10-25 20:07 9.5K 
[   ]perl-digest-crc-doc-0.24-r1.apk2024-10-25 20:07 3.2K 
[   ]perl-dns-unbound-0.29-r1.apk2024-10-25 20:07 23K 
[   ]perl-dns-unbound-anyevent-0.29-r1.apk2024-10-25 20:07 2.3K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk2024-10-25 20:07 1.9K 
[   ]perl-dns-unbound-doc-0.29-r1.apk2024-10-25 20:07 15K 
[   ]perl-dns-unbound-ioasync-0.29-r1.apk2024-10-25 20:07 2.4K 
[   ]perl-dns-unbound-mojo-0.29-r1.apk2024-10-25 20:07 2.7K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 20:07 13K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 20:07 4.0K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 20:07 3.8K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 20:07 6.1K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 20:07 4.8K 
[   ]perl-ev-hiredis-0.07-r1.apk2024-10-25 20:07 13K 
[   ]perl-ev-hiredis-doc-0.07-r1.apk2024-10-25 20:07 4.2K 
[   ]perl-extutils-makemaker-7.70-r2.apk2024-10-25 20:07 175K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 20:07 43K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 20:07 21K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 20:07 20K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 20:07 29K 
[   ]perl-ffi-platypus-2.10-r0.apk2024-12-19 04:41 182K 
[   ]perl-ffi-platypus-doc-2.10-r0.apk2024-12-19 04:41 146K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-file-mmagic-xs-0.09008-r4.apk2024-10-25 20:07 28K 
[   ]perl-file-mmagic-xs-doc-0.09008-r4.apk2024-10-25 20:07 4.2K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 20:07 12K 
[   ]perl-flowd-0.9.1-r10.apk2024-10-25 20:07 23K 
[   ]perl-flowd-doc-0.9.1-r10.apk2024-10-25 20:07 3.2K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 20:07 9.8K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 20:07 5.6K 
[   ]perl-full-1.004-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 20:07 10K 
[   ]perl-future-asyncawait-hooks-0.02-r0.apk2024-10-25 20:07 7.7K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 20:07 9.2K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 20:07 16K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 20:07 9.6K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 20:07 9.1K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 20:07 4.3K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 20:07 27K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 20:07 20K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:39 15K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:39 11K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 20:07 23K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 20:07 17K 
[   ]perl-git-raw-0.90-r2.apk2024-11-22 18:29 162K 
[   ]perl-git-raw-doc-0.90-r2.apk2024-11-22 18:29 117K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 20:07 16K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 20:07 31K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 20:07 4.8K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 20:07 15K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 20:07 22K 
[   ]perl-glib-object-introspection-0.051-r1.apk2024-10-25 20:07 56K 
[   ]perl-glib-object-introspection-doc-0.051-r1.apk2024-10-25 20:07 11K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 20:07 7.8K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 20:07 14K 
[   ]perl-gtk2-1.24993-r6.apk2024-10-25 20:07 817K 
[   ]perl-gtk2-doc-1.24993-r6.apk2024-10-25 20:07 670K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 20:07 13K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 20:07 7.3K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 20:07 66K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 20:07 81K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 20:07 20K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 20:07 9.1K 
[   ]perl-guard-1.023-r9.apk2024-10-25 20:07 8.2K 
[   ]perl-guard-doc-1.023-r9.apk2024-10-25 20:07 5.3K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 20:07 9.8K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 20:07 19K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 20:07 348K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 20:07 472K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 20:07 6.2K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 20:07 18K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 20:07 9.9K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-http-xsheaders-0.400005-r1.apk2024-10-25 20:07 17K 
[   ]perl-http-xsheaders-doc-0.400005-r1.apk2024-10-25 20:07 6.3K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 20:07 4.2K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 20:07 4.1K 
[   ]perl-indirect-0.39-r1.apk2024-10-25 20:07 15K 
[   ]perl-indirect-doc-0.39-r1.apk2024-10-25 20:07 6.4K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 20:07 75K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 20:07 68K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 20:07 5.7K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-json-path-1.0.6-r0.apk2024-10-25 20:07 16K 
[   ]perl-json-path-doc-1.0.6-r0.apk2024-10-25 20:07 13K 
[   ]perl-json-validator-5.14-r0.apk2024-10-25 20:07 59K 
[   ]perl-json-validator-doc-5.14-r0.apk2024-10-25 20:07 33K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-libapreq2-2.17-r2.apk2024-10-25 20:07 95K 
[   ]perl-libapreq2-dev-2.17-r2.apk2024-10-25 20:07 55K 
[   ]perl-libapreq2-doc-2.17-r2.apk2024-10-25 20:07 37K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:35 305K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:35 571K 
[   ]perl-linux-pid-0.04-r13.apk2024-10-25 20:07 4.6K 
[   ]perl-linux-pid-doc-0.04-r13.apk2024-10-25 20:07 3.0K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 20:07 9.9K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 20:07 12K 
[   ]perl-list-binarysearch-xs-0.09-r1.apk2024-10-25 20:07 12K 
[   ]perl-list-binarysearch-xs-doc-0.09-r1.apk2024-10-25 20:07 8.1K 
[   ]perl-list-keywords-0.11-r0.apk2024-10-25 20:07 14K 
[   ]perl-list-keywords-doc-0.11-r0.apk2024-10-25 20:07 5.5K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 20:07 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 20:07 7.2K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 20:07 11K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 20:07 12K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 20:07 4.2K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 20:07 3.9K 
[   ]perl-lv-0.006-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-lv-backend-magic-0.006-r0.apk2024-10-25 20:07 2.1K 
[   ]perl-lv-backend-sentinel-0.006-r0.apk2024-10-25 20:07 2.0K 
[   ]perl-lv-doc-0.006-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-lwp-online-1.08-r0.apk2024-10-25 20:07 6.1K 
[   ]perl-lwp-online-doc-1.08-r0.apk2024-10-25 20:07 5.7K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 20:07 6.4K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 20:07 22K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 20:07 33K 
[   ]perl-math-int64-0.57-r1.apk2024-10-25 20:07 29K 
[   ]perl-math-int64-doc-0.57-r1.apk2024-10-25 20:07 10K 
[   ]perl-math-libm-1.00-r14.apk2024-10-25 20:07 9.5K 
[   ]perl-math-libm-doc-1.00-r14.apk2024-10-25 20:07 3.1K 
[   ]perl-math-random-0.72-r0.apk2024-10-25 20:07 34K 
[   ]perl-math-random-doc-0.72-r0.apk2024-10-25 20:07 11K 
[   ]perl-math-random-isaac-xs-1.004-r8.apk2024-10-25 20:07 7.3K 
[   ]perl-math-random-isaac-xs-doc-1.004-r8.apk2024-10-25 20:07 3.8K 
[   ]perl-mce-1.901-r0.apk2025-01-03 04:21 134K 
[   ]perl-mce-doc-1.901-r0.apk2025-01-03 04:21 170K 
[   ]perl-minion-10.31-r0.apk2024-10-25 20:07 1.5M 
[   ]perl-minion-backend-pg-10.31-r0.apk2024-10-25 20:07 9.6K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 20:07 10K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 20:07 10K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 20:07 6.8K 
[   ]perl-minion-doc-10.31-r0.apk2024-10-25 20:07 49K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-module-generic-0.38.0-r0.apk2025-03-12 03:29 253K 
[   ]perl-module-generic-doc-0.38.0-r0.apk2025-03-12 03:29 202K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 20:07 4.7K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 20:07 25K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 20:07 25K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 20:07 16K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 20:07 19K 
[   ]perl-mojolicious-plugin-openapi-5.09-r0.apk2024-10-25 20:07 29K 
[   ]perl-mojolicious-plugin-openapi-doc-5.09-r0.apk2024-10-25 20:07 34K 
[   ]perl-multidimensional-0.014-r0.apk2024-10-25 20:07 4.7K 
[   ]perl-multidimensional-doc-0.014-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-musicbrainz-discid-0.06-r1.apk2024-10-25 20:07 9.0K 
[   ]perl-musicbrainz-discid-doc-0.06-r1.apk2024-10-25 20:07 4.3K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r0.apk2024-10-25 20:07 73K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r0.apk2024-10-25 20:07 9.7K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-xs-1.001-r1.apk2024-10-25 20:07 8.6K 
[   ]perl-net-async-redis-xs-doc-1.001-r1.apk2024-10-25 20:07 5.3K 
[   ]perl-net-curl-0.57-r0.apk2025-01-25 07:04 57K 
[   ]perl-net-curl-doc-0.57-r0.apk2025-01-25 07:04 39K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 20:07 8.8K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 20:07 12K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 20:07 3.0K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 20:07 3.1K 
[   ]perl-net-idn-encode-2.500-r1.apk2024-10-25 20:07 84K 
[   ]perl-net-idn-encode-doc-2.500-r1.apk2024-10-25 20:07 22K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 20:07 5.5K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 20:07 5.1K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 20:07 51K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 20:07 13K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 20:07 48K 
[   ]perl-net-netmask-2.0002-r2.apk2024-10-25 20:07 14K 
[   ]perl-net-netmask-doc-2.0002-r2.apk2024-10-25 20:07 8.5K 
[   ]perl-net-patricia-1.22-r12.apk2024-10-25 20:07 20K 
[   ]perl-net-patricia-doc-1.22-r12.apk2024-10-25 20:07 6.0K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 20:07 58K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 20:07 44K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 20:07 11K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 20:07 8.0K 
[   ]perl-nice-try-1.3.15-r0.apk2024-11-07 02:17 28K 
[   ]perl-nice-try-doc-1.3.15-r0.apk2024-11-07 02:17 12K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 20:07 15K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 20:07 9.0K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 20:07 5.2K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 20:07 4.4K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 20:07 15K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 20:07 26K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 20:07 5.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 20:07 7.0K 
[   ]perl-object-pad-fieldattr-checked-0.12-r0.apk2024-10-25 20:07 8.0K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r0.apk2024-10-25 20:07 4.5K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 20:07 8.6K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 20:07 7.3K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 20:07 18K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 20:07 33K 
[   ]perl-pango-1.227-r11.apk2024-10-25 20:07 75K 
[   ]perl-pango-doc-1.227-r11.apk2024-10-25 20:07 81K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 20:07 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 20:07 5.1K 
[   ]perl-perlio-locale-0.10-r12.apk2024-10-25 20:07 4.4K 
[   ]perl-perlio-locale-doc-0.10-r12.apk2024-10-25 20:07 3.0K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 20:07 3.9K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 20:07 3.3K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:36 3.1K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.5K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 3.1K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 20:07 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 20:07 3.0K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 20:07 4.6K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 20:07 4.9K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 20:07 10K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 20:07 11K 
[   ]perl-ppi-xs-0.910-r1.apk2024-10-25 20:07 5.6K 
[   ]perl-ppi-xs-doc-0.910-r1.apk2024-10-25 20:07 3.4K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 20:07 3.7K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 20:07 3.5K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 20:07 11K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 20:07 2.5K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 20:07 12K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 20:07 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 20:07 2.9K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 20:07 2.6K 
[   ]perl-promise-me-0.5.0-r0.apk2024-10-25 20:07 26K 
[   ]perl-promise-me-doc-0.5.0-r0.apk2024-10-25 20:07 12K 
[   ]perl-promise-xs-0.20-r1.apk2024-10-25 20:07 22K 
[   ]perl-promise-xs-doc-0.20-r1.apk2024-10-25 20:07 8.7K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 20:07 19K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 20:07 36K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 20:07 5.6K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 20:07 5.0K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 20:07 3.3K 
[   ]perl-ref-util-xs-0.117-r8.apk2024-10-25 20:07 8.6K 
[   ]perl-ref-util-xs-doc-0.117-r8.apk2024-10-25 20:07 3.4K 
[   ]perl-regexp-grammars-1.058-r0.apk2024-10-25 20:07 66K 
[   ]perl-regexp-grammars-doc-1.058-r0.apk2024-10-25 20:07 48K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 20:07 26K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 20:07 8.9K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 20:07 23K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 20:07 2.8K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 20:07 9.0K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 20:07 2.8K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 20:07 9.1K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 20:07 26K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 20:07 12K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 20:07 35K 
[   ]perl-scalar-readonly-0.03-r1.apk2024-10-25 20:07 5.2K 
[   ]perl-scalar-readonly-doc-0.03-r1.apk2024-10-25 20:07 3.4K 
[   ]perl-sentinel-0.07-r1.apk2024-10-25 20:07 7.0K 
[   ]perl-sentinel-doc-0.07-r1.apk2024-10-25 20:07 4.2K 
[   ]perl-signature-attribute-checked-0.06-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-signature-attribute-checked-doc-0.06-r0.apk2024-10-25 20:07 4.6K 
[   ]perl-snmp-5.0404-r13.apk2024-10-25 20:07 68K 
[   ]perl-snmp-doc-5.0404-r13.apk2024-10-25 20:07 14K 
[   ]perl-snmp-info-3.972002-r0.apk2024-10-30 05:59 333K 
[   ]perl-snmp-info-doc-3.972002-r0.apk2024-10-30 05:59 379K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 20:07 110K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 20:07 90K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 20:07 8.6K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 20:07 5.4K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 20:07 30K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 20:07 20K 
[   ]perl-sql-abstract-more-1.43-r0.apk2024-12-30 06:37 27K 
[   ]perl-sql-abstract-more-doc-1.43-r0.apk2024-12-30 06:37 17K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 20:07 13K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 20:07 10K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 20:07 9.2K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 20:07 50K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 20:07 30K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 20:07 37K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 20:07 6.7K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 20:07 3.2K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 20:07 3.4K 
[   ]perl-string-compare-constanttime-0.321-r6.apk2024-10-25 20:07 7.1K 
[   ]perl-string-compare-constanttime-doc-0.321-r6.apk2024-10-25 20:07 5.2K 
[   ]perl-string-crc32-2.100-r4.apk2024-10-25 20:07 6.8K 
[   ]perl-string-crc32-doc-2.100-r4.apk2024-10-25 20:07 3.4K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 20:07 7.9K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 20:07 6.2K 
[   ]perl-syntax-keyword-match-0.15-r0.apk2024-10-25 20:07 13K 
[   ]perl-syntax-keyword-match-doc-0.15-r0.apk2024-10-25 20:07 7.8K 
[   ]perl-syntax-operator-equ-0.10-r0.apk2024-10-25 20:07 7.8K 
[   ]perl-syntax-operator-equ-doc-0.10-r0.apk2024-10-25 20:07 6.5K 
[   ]perl-syntax-operator-in-0.10-r0.apk2024-10-25 20:07 9.4K 
[   ]perl-syntax-operator-in-doc-0.10-r0.apk2024-10-25 20:07 5.8K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 20:07 5.4K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 20:07 3.7K 
[   ]perl-sys-virt-11.0.0-r0.apk2025-02-18 07:27 194K 
[   ]perl-sys-virt-doc-11.0.0-r0.apk2025-02-18 07:27 99K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 20:07 12K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 20:07 10K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 20:07 2.7K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 20:07 3.0K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 20:07 4.9K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 20:07 4.4K 
[   ]perl-template-tiny-1.14-r0.apk2024-12-15 10:09 5.2K 
[   ]perl-template-tiny-doc-1.14-r0.apk2024-12-15 10:09 4.7K 
[   ]perl-term-size-0.211-r4.apk2024-10-25 20:07 5.5K 
[   ]perl-term-size-doc-0.211-r4.apk2024-10-25 20:07 3.7K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 20:07 10K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 20:07 8.5K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 20:07 5.1K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 20:07 4.2K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 20:07 5.9K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 20:07 3.6K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 20:07 4.2K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 20:07 7.7K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 20:07 6.1K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 20:07 7.1K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 20:07 20K 
[   ]perl-test-file-1.994-r0.apk2025-01-12 04:09 11K 
[   ]perl-test-file-doc-1.994-r0.apk2025-01-12 04:09 6.7K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 20:07 6.7K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 20:07 15K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 20:07 9.8K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 20:07 8.3K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 20:07 6.4K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 20:07 5.3K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 20:07 15K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 20:07 9.8K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 20:07 3.5K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 20:07 3.7K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 20:07 4.8K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 20:07 12K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 20:07 15K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 20:07 6.0K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 20:07 8.9K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 20:07 8.4K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 20:07 9.8K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 20:07 6.2K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 20:07 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 20:07 20K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 20:07 37K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 20:07 48K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 20:07 3.8K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 20:07 3.8K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-19 22:34 5.6K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-19 22:34 4.9K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 20:07 4.4K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 20:07 4.5K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 20:07 4.1K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 20:07 8.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 20:07 6.6K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 20:07 5.3K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 20:07 5.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 20:07 6.2K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 20:07 8.0K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 20:07 4.6K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 20:07 3.8K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 20:07 4.7K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 20:07 7.2K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 20:07 8.0K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 20:07 6.5K 
[   ]perl-time-moment-0.44-r0.apk2024-10-25 20:07 39K 
[   ]perl-time-moment-doc-0.44-r0.apk2024-10-25 20:07 31K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 20:07 3.4K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 20:07 3.6K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-time-timegm-0.01-r9.apk2024-10-25 20:07 6.5K 
[   ]perl-time-timegm-doc-0.01-r9.apk2024-10-25 20:07 3.8K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 20:07 4.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:26 11K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:26 8.4K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 20:07 7.0K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 20:07 7.5K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 20:07 4.0K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 20:07 3.9K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 20:07 4.5K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 20:07 2.7K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 20:07 5.1K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 20:07 4.7K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 20:07 3.2K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 20:07 5.6K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 20:07 6.9K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 20:07 7.6K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 20:07 20K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 20:07 16K 
[   ]perl-xml-bare-0.53-r13.apk2024-10-25 20:07 27K 
[   ]perl-xml-bare-doc-0.53-r13.apk2024-10-25 20:07 11K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 20:07 14K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 20:07 12K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 20:07 5.0K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 20:07 5.4K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 20:07 5.7K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 20:07 4.9K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 20:07 44K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 20:07 18K 
[   ]persistent-cache-cpp-1.0.7-r4.apk2025-02-17 12:07 45K 
[   ]persistent-cache-cpp-dev-1.0.7-r4.apk2025-02-17 12:07 18K 
[   ]persistent-cache-cpp-doc-1.0.7-r4.apk2025-02-17 12:07 3.1K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 20:07 1.1M 
[   ]pfetch-1.7.0-r0.apk2025-01-03 18:51 23K 
[   ]pfetch-doc-1.7.0-r0.apk2025-01-03 18:51 5.5K 
[   ]pgcat-1.2.0-r1.apk2025-01-01 17:37 2.6M 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-01 17:37 1.9K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 20:07 3.9M 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 20:07 1.7K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 20:07 287K 
[   ]php81-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-apache2-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-bcmath-8.1.32-r0.apk2025-03-12 16:32 14K 
[   ]php81-bz2-8.1.32-r0.apk2025-03-12 16:32 9.0K 
[   ]php81-calendar-8.1.32-r0.apk2025-03-12 16:32 13K 
[   ]php81-cgi-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-common-8.1.32-r0.apk2025-03-12 16:32 25K 
[   ]php81-ctype-8.1.32-r0.apk2025-03-12 16:32 4.6K 
[   ]php81-curl-8.1.32-r0.apk2025-03-12 16:32 36K 
[   ]php81-dba-8.1.32-r0.apk2025-03-12 16:32 20K 
[   ]php81-dev-8.1.32-r0.apk2025-03-12 16:32 939K 
[   ]php81-doc-8.1.32-r0.apk2025-03-12 16:32 68K 
[   ]php81-dom-8.1.32-r0.apk2025-03-12 16:32 57K 
[   ]php81-embed-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-enchant-8.1.32-r0.apk2025-03-12 16:32 8.0K 
[   ]php81-exif-8.1.32-r0.apk2025-03-12 16:32 31K 
[   ]php81-ffi-8.1.32-r0.apk2025-03-12 16:32 64K 
[   ]php81-fileinfo-8.1.32-r0.apk2025-03-12 16:32 375K 
[   ]php81-fpm-8.1.32-r0.apk2025-03-12 16:32 1.8M 
[   ]php81-ftp-8.1.32-r0.apk2025-03-12 16:32 21K 
[   ]php81-gd-8.1.32-r0.apk2025-03-12 16:32 115K 
[   ]php81-gettext-8.1.32-r0.apk2025-03-12 16:32 5.5K 
[   ]php81-gmp-8.1.32-r0.apk2025-03-12 16:32 19K 
[   ]php81-iconv-8.1.32-r0.apk2025-03-12 16:32 16K 
[   ]php81-imap-8.1.32-r0.apk2025-03-12 16:32 32K 
[   ]php81-intl-8.1.32-r0.apk2025-03-12 16:32 132K 
[   ]php81-ldap-8.1.32-r0.apk2025-03-12 16:32 30K 
[   ]php81-litespeed-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-mbstring-8.1.32-r0.apk2025-03-12 16:32 564K 
[   ]php81-mysqli-8.1.32-r0.apk2025-03-12 16:32 40K 
[   ]php81-mysqlnd-8.1.32-r0.apk2025-03-12 16:32 75K 
[   ]php81-odbc-8.1.32-r0.apk2025-03-12 16:32 22K 
[   ]php81-opcache-8.1.32-r0.apk2025-03-12 16:32 66K 
[   ]php81-openssl-8.1.32-r0.apk2025-03-12 16:32 69K 
[   ]php81-pcntl-8.1.32-r0.apk2025-03-12 16:32 13K 
[   ]php81-pdo-8.1.32-r0.apk2025-03-12 16:32 39K 
[   ]php81-pdo_dblib-8.1.32-r0.apk2025-03-12 16:32 11K 
[   ]php81-pdo_mysql-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-pdo_odbc-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-pdo_pgsql-8.1.32-r0.apk2025-03-12 16:32 18K 
[   ]php81-pdo_sqlite-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-pear-8.1.32-r0.apk2025-03-12 16:32 338K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 20:07 59K 
[   ]php81-pecl-apcu-5.1.24-r0.apk2024-10-25 20:07 54K 
[   ]php81-pecl-ast-1.1.2-r0.apk2024-10-25 20:07 21K 
[   ]php81-pecl-brotli-0.15.2-r0.apk2024-11-08 20:37 11K 
[   ]php81-pecl-couchbase-4.2.6-r0.apk2025-01-30 01:56 4.2M 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.9K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 20:07 18K 
[   ]php81-pecl-ds-1.5.0-r0.apk2024-10-25 20:07 51K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 20:07 48K 
[   ]php81-pecl-grpc-1.71.0-r0.apk2025-03-12 06:41 4.4M 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 20:07 29K 
[   ]php81-pecl-imagick-3.7.0-r5.apk2024-10-25 20:07 105K 
[   ]php81-pecl-imagick-dev-3.7.0-r5.apk2024-10-25 20:07 2.3K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:07 37K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 20:07 29K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 20:07 6.8K 
[   ]php81-pecl-mailparse-3.1.8-r0.apk2024-10-25 20:07 22K 
[   ]php81-pecl-maxminddb-1.12.0-r0.apk2024-11-19 22:34 8.1K 
[   ]php81-pecl-mcrypt-1.0.7-r0.apk2024-10-25 20:07 15K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 20:07 42K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 20:07 46K 
[   ]php81-pecl-mongodb-1.21.0-r0.apk2025-02-28 21:52 847K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 20:07 27K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 20:07 36K 
[   ]php81-pecl-opentelemetry-1.1.2-r0.apk2025-01-25 07:04 12K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-04 17:17 9.2K 
[   ]php81-pecl-protobuf-4.30.0-r0.apk2025-03-05 18:38 138K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 20:07 18K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:51 36K 
[   ]php81-pecl-redis-6.1.0-r0.apk2024-10-25 20:07 197K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:01 20K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 20:07 28K 
[   ]php81-pecl-timezonedb-2025.1-r0.apk2025-01-25 07:04 190K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 20:07 6.8K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 20:07 9.9K 
[   ]php81-pecl-uuid-1.2.1-r0.apk2024-10-25 20:07 6.3K 
[   ]php81-pecl-xdebug-3.4.2-r0.apk2025-03-09 18:38 143K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 20:07 12K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 20:07 801K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:44 220K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 20:07 33K 
[   ]php81-pecl-yaml-2.2.4-r0.apk2024-10-25 20:07 18K 
[   ]php81-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 65K 
[   ]php81-pecl-zstd-0.14.0-r0.apk2024-11-06 14:44 13K 
[   ]php81-pgsql-8.1.32-r0.apk2025-03-12 16:32 42K 
[   ]php81-phar-8.1.32-r0.apk2025-03-12 16:32 118K 
[   ]php81-phpdbg-8.1.32-r0.apk2025-03-12 16:32 1.7M 
[   ]php81-posix-8.1.32-r0.apk2025-03-12 16:32 10K 
[   ]php81-pspell-8.1.32-r0.apk2025-03-12 16:32 7.7K 
[   ]php81-session-8.1.32-r0.apk2025-03-12 16:32 35K 
[   ]php81-shmop-8.1.32-r0.apk2025-03-12 16:32 5.7K 
[   ]php81-simplexml-8.1.32-r0.apk2025-03-12 16:32 21K 
[   ]php81-snmp-8.1.32-r0.apk2025-03-12 16:32 19K 
[   ]php81-soap-8.1.32-r0.apk2025-03-12 16:32 128K 
[   ]php81-sockets-8.1.32-r0.apk2025-03-12 16:32 34K 
[   ]php81-sodium-8.1.32-r0.apk2025-03-12 16:32 26K 
[   ]php81-sqlite3-8.1.32-r0.apk2025-03-12 16:32 19K 
[   ]php81-sysvmsg-8.1.32-r0.apk2025-03-12 16:32 7.1K 
[   ]php81-sysvsem-8.1.32-r0.apk2025-03-12 16:32 5.4K 
[   ]php81-sysvshm-8.1.32-r0.apk2025-03-12 16:32 6.3K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 20:07 13K 
[   ]php81-tidy-8.1.32-r0.apk2025-03-12 16:32 18K 
[   ]php81-tokenizer-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-xml-8.1.32-r0.apk2025-03-12 16:32 18K 
[   ]php81-xmlreader-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-xmlwriter-8.1.32-r0.apk2025-03-12 16:32 11K 
[   ]php81-xsl-8.1.32-r0.apk2025-03-12 16:32 12K 
[   ]php81-zip-8.1.32-r0.apk2025-03-12 16:32 24K 
[   ]php82-pdlib-1.1.0-r1.apk2024-10-25 20:07 490K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 20:07 4.4K 
[   ]php82-pecl-excimer-1.2.3-r0.apk2024-12-04 01:32 20K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:07 38K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 20:07 36K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 20:07 29K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 20:07 111K 
[   ]php82-pecl-vld-0.18.0-r0.apk2024-10-25 20:07 15K 
[   ]php82-pecl-zephir_parser-1.7.0-r0.apk2024-11-24 17:25 65K 
[   ]php82-snappy-0.2.1-r1.apk2024-10-25 20:07 5.0K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 20:07 4.4K 
[   ]php83-pecl-eio-3.1.3-r0.apk2024-10-25 20:07 28K 
[   ]php83-pecl-ev-1.2.0-r0.apk2024-10-25 20:07 38K 
[   ]php83-pecl-excimer-1.2.3-r0.apk2024-12-04 01:32 20K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 20:07 36K 
[   ]php83-pecl-phpy-1.0.11-r0.apk2025-03-06 22:31 39K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 20:07 51K 
[   ]php83-pecl-vld-0.18.0-r1.apk2024-10-25 20:07 15K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 20:07 30K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:45 9.9K 
[   ]php84-pecl-ev-1.2.0-r1.apk2024-10-25 20:07 38K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 20:07 36K 
[   ]php84-pecl-phpy-1.0.11-r0.apk2025-03-06 22:31 39K 
[   ]php84-pecl-solr-2.8.0-r0.apk2025-01-02 09:42 89K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 51K 
[   ]phpactor-2024.06.30.0-r0.apk2024-10-25 20:07 3.8M 
[   ]pick-4.0.0-r0.apk2024-10-25 20:07 9.9K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 20:07 3.3K 
[   ]pict-rs-0.5.16-r1.apk2024-10-25 20:07 6.6M 
[   ]pict-rs-openrc-0.5.16-r1.apk2024-10-25 20:07 1.9K 
[   ]pidif-0.1-r1.apk2024-10-25 20:07 165K 
[   ]piglit-0_git20241106-r0.apk2024-11-08 06:18 88M 
[   ]pigpio-79-r4.apk2024-10-25 20:07 214K 
[   ]pigpio-dev-79-r4.apk2024-10-25 20:07 91K 
[   ]pigpio-doc-79-r4.apk2024-10-25 20:07 115K 
[   ]pigpio-openrc-79-r4.apk2024-10-25 20:07 1.6K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 20:07 84K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 20:07 51K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 20:07 20K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 20:07 1.9K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 20:07 35K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 20:07 1.6K 
[   ]pimsync-0.3.0-r0.apk2025-02-28 14:40 1.0M 
[   ]pimsync-doc-0.3.0-r0.apk2025-02-28 14:40 14K 
[   ]pinentry-bemenu-0.14.0-r0.apk2025-02-21 19:35 8.1K 
[   ]pipectl-0.4.1-r1.apk2024-10-25 20:07 5.6K 
[   ]pipectl-doc-0.4.1-r1.apk2024-10-25 20:07 3.0K 
[   ]pipeline-2.1.1-r0.apk2025-02-02 03:09 1.7M 
[   ]pipeline-doc-2.1.1-r0.apk2025-02-02 03:09 14K 
[   ]pipeline-lang-2.1.1-r0.apk2025-02-02 03:09 63K 
[   ]piper-phonemize-2023.11.14.4-r7.apk2025-03-11 20:40 9.0M 
[   ]piper-phonemize-dev-2023.11.14.4-r7.apk2025-03-11 20:40 394K 
[   ]piper-phonemize-libs-2023.11.14.4-r7.apk2025-03-11 20:40 71K 
[   ]piper-tts-2023.11.14.2-r11.apk2025-03-11 20:40 175K 
[   ]piper-tts-dev-2023.11.14.2-r11.apk2025-03-11 20:40 141K 
[   ]piping-server-0.18.0-r0.apk2024-10-25 20:07 1.3M 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-25 20:07 1.8K 
[   ]pithos-1.6.1-r0.apk2024-10-25 20:07 104K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 20:07 2.1K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 20:07 154K 
[   ]pitivi-2023.03-r2.apk2024-12-22 22:06 2.7M 
[   ]pitivi-lang-2023.03-r2.apk2024-12-22 22:06 678K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-22 22:06 700K 
[   ]pixiewps-1.4.2-r1.apk2024-10-25 20:07 39K 
[   ]pixiewps-doc-1.4.2-r1.apk2024-10-25 20:07 3.4K 
[   ]planarity-3.0.2.0-r2.apk2024-10-25 20:07 8.5K 
[   ]planarity-dev-3.0.2.0-r2.apk2024-10-25 20:07 19K 
[   ]planarity-doc-3.0.2.0-r2.apk2024-10-25 20:07 13K 
[   ]planarity-libs-3.0.2.0-r2.apk2024-10-25 20:07 60K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:40 332K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:40 2.2K 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:40 825K 
[   ]please-0.5.5-r0.apk2024-10-25 20:07 1.0M 
[   ]please-doc-0.5.5-r0.apk2024-10-25 20:07 16K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:47 38K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:47 6.5K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:47 27K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:47 31K 
[   ]plib-1.8.5-r3.apk2024-10-25 20:07 870K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:42 516K 
[   ]plplot-5.15.0-r2.apk2024-10-25 20:07 31K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 20:07 59K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 20:07 311K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 20:07 190K 
[   ]plzip-1.12-r0.apk2025-01-15 08:35 44K 
[   ]plzip-doc-1.12-r0.apk2025-01-15 08:35 17K 
[   ]pmccabe-2.8-r1.apk2024-10-25 20:07 25K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 20:07 7.1K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 20:07 139K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 20:07 2.3K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 20:07 25K 
[   ]poke-4.2-r0.apk2024-10-25 20:07 1.1M 
[   ]poke-doc-4.2-r0.apk2024-10-25 20:07 201K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 20:07 8.7K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 20:07 3.0K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 20:07 53K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 20:07 2.4K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 20:07 22K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 20:07 105K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 20:07 64K 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 20:07 48K 
[   ]pomo-0.8.1-r20.apk2025-02-10 01:33 1.5M 
[   ]pomo-doc-0.8.1-r20.apk2025-02-10 01:33 2.7K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 20:07 2.4K 
[   ]popeye-0.22.1-r1.apk2025-02-10 01:33 27M 
[   ]porla-0.41.0-r2.apk2025-02-24 14:56 3.3M 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 14:56 2.2K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 14:56 2.7K 
[   ]portsmf-239-r1.apk2024-10-25 20:07 50K 
[   ]portsmf-dev-239-r1.apk2024-10-25 20:07 20K 
[   ]postgresql-pg_graphql-1.5.9-r0.apk2024-12-25 18:53 585K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 20:07 635K 
[   ]postgresql-pg_partman-5.0.0-r0.apk2024-10-25 20:07 971K 
[   ]postgresql-pg_partman-bitcode-5.0.0-r0.apk2024-10-25 20:07 23K 
[   ]postgresql-pg_partman-doc-5.0.0-r0.apk2024-10-25 20:07 47K 
[   ]postgresql-pg_partman-scripts-5.0.0-r0.apk2024-10-25 20:07 7.7K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 20:07 22K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 20:07 54K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 20:07 272K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 20:07 70K 
[   ]pounce-3.1-r3.apk2024-10-25 20:07 29K 
[   ]pounce-doc-3.1-r3.apk2024-10-25 20:07 8.5K 
[   ]pounce-openrc-3.1-r3.apk2024-10-25 20:07 2.8K 
[   ]powder-toy-97.0.352-r1.apk2024-10-25 20:07 814K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 20:07 20K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 20:07 2.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 20:07 4.2K 
[   ]ppl-1.2-r1.apk2024-10-25 20:07 36K 
[   ]ppl-dev-1.2-r1.apk2024-10-25 20:07 609K 
[   ]ppl-doc-1.2-r1.apk2024-10-25 20:07 9.1M 
[   ]pptpclient-1.10.0-r5.apk2024-10-25 20:07 33K 
[   ]pptpclient-doc-1.10.0-r5.apk2024-10-25 20:07 7.2K 
[   ]pqiv-2.12-r1.apk2024-10-25 20:07 63K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 20:07 12K 
[   ]predict-2.3.1-r0.apk2024-11-23 18:59 91K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 18:59 16K 
[   ]primecount-7.14-r0.apk2024-10-25 20:07 28K 
[   ]primecount-dev-7.14-r0.apk2024-10-25 20:07 1.9M 
[   ]primecount-doc-7.14-r0.apk2024-10-25 20:07 3.9K 
[   ]primecount-libs-7.14-r0.apk2024-10-25 20:07 123K 
[   ]primesieve-12.6-r0.apk2024-12-14 18:13 43K 
[   ]primesieve-dev-12.6-r0.apk2024-12-14 18:13 1.2M 
[   ]primesieve-doc-12.6-r0.apk2024-12-14 18:13 4.0K 
[   ]primesieve-libs-12.6-r0.apk2024-12-14 18:13 111K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 20:07 1.2M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 20:07 3.3K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 20:07 2.1M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 20:07 39K 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 20:07 1.0M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 20:07 1.1M 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 20:07 748K 
[   ]projectm-3.1.12-r2.apk2024-10-25 20:07 423K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 20:07 662K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 20:07 4.3M 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 20:07 395K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 20:07 2.0K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 20:07 308K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 20:07 5.1K 
[   ]prometheus-bind-exporter-0.7.0-r8.apk2025-02-10 01:33 4.3M 
[   ]prometheus-bind-exporter-openrc-0.7.0-r8.apk2025-02-10 01:33 1.8K 
[   ]prometheus-ipmi-exporter-1.8.0-r2.apk2025-02-10 01:33 3.9M 
[   ]prometheus-ipmi-exporter-doc-1.8.0-r2.apk2025-02-10 01:33 6.5K 
[   ]prometheus-ipmi-exporter-openrc-1.8.0-r2.apk2025-02-10 01:33 1.9K 
[   ]prometheus-opnsense-exporter-0.0.7-r0.apk2025-03-10 21:18 4.7M 
[   ]prometheus-opnsense-exporter-openrc-0.0.7-r0.apk2025-03-10 21:18 2.0K 
[   ]prometheus-podman-exporter-1.15.0-r0.apk2025-03-02 12:16 15M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r25.apk2025-02-10 01:33 3.8M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r25.apk2025-02-10 01:33 1.6K 
[   ]prometheus-smartctl-exporter-0.13.0-r2.apk2025-02-10 01:33 4.2M 
[   ]prometheus-smartctl-exporter-openrc-0.13.0-r2.apk2025-02-10 01:33 1.8K 
[   ]prometheus-smokeping-prober-0.7.1-r9.apk2025-02-10 01:33 4.2M 
[   ]prometheus-smokeping-prober-openrc-0.7.1-r9.apk2025-02-10 01:33 1.9K 
[   ]prometheus-unbound-exporter-0.4.6-r2.apk2025-02-10 01:33 3.4M 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r2.apk2025-02-10 01:33 1.9K 
[   ]proot-5.4.0-r1.apk2024-10-25 20:07 67K 
[   ]proot-doc-5.4.0-r1.apk2024-10-25 20:07 10K 
[   ]proot-static-5.4.0-r1.apk2024-10-25 20:07 106K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 20:07 2.6K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 20:07 3.1K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:07 7.2K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 20:07 3.3K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 20:07 2.9K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 20:07 1.8K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 20:07 2.2K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 20:07 5.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 20:07 5.6K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:07 6.9K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 20:07 3.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 20:07 104K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 20:07 2.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 20:07 1.7K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 20:07 1.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 20:07 2.8K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 20:07 8.6K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 20:07 2.0K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 20:07 2.7K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 20:07 2.6K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 20:07 1.5K 
[   ]protoc-gen-go-1.36.4-r1.apk2025-02-10 01:33 1.9M 
[   ]protoconf-0.1.7-r10.apk2025-02-10 01:33 7.1M 
[   ]psftools-1.1.2-r0.apk2024-10-25 20:07 198K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 20:07 42K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 20:07 60K 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 20:07 12K 
[   ]psi-plus-1.5.1965-r0.apk2024-10-25 20:07 8.4M 
[   ]psi-plus-plugins-1.5.1965-r0.apk2024-10-25 20:07 1.6M 
[   ]pspp-2.0.1-r0.apk2024-10-25 20:07 9.3M 
[   ]pspp-dbg-2.0.1-r0.apk2024-10-25 20:07 4.3M 
[   ]pspp-doc-2.0.1-r0.apk2024-10-25 20:07 9.0K 
[   ]psst-0_git20240526-r1.apk2024-10-25 20:07 7.5M 
[   ]ptpd-2.3.1-r1.apk2024-10-25 20:07 184K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 20:07 20K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 20:07 2.4K 
[   ]ptylie-0.2-r1.apk2024-10-25 20:07 11K 
[   ]ptylie-doc-0.2-r1.apk2024-10-25 20:07 3.2K 
[   ]ptyxis-47.10-r0.apk2025-02-17 12:07 254K 
[   ]ptyxis-doc-47.10-r0.apk2025-02-17 12:07 2.9K 
[   ]ptyxis-lang-47.10-r0.apk2025-02-17 12:07 240K 
[   ]pully-1.0.0-r0.apk2024-10-25 20:07 2.5K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 20:07 1.7K 
[   ]pulsar-client-cpp-3.1.2-r4.apk2024-10-25 20:07 1.1M 
[   ]pulsar-client-cpp-dev-3.1.2-r4.apk2024-10-25 20:07 55K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 20:07 870K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 20:07 3.7K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 20:07 69K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 20:07 194K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 20:07 325K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 20:07 4.2K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 20:07 6.8K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 20:07 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 20:07 2.9K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 20:07 17K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 21K 
[   ]py3-aesedb-0.1.6-r2.apk2024-10-25 20:07 37K 
[   ]py3-aesedb-pyc-0.1.6-r2.apk2024-10-25 20:07 76K 
[   ]py3-agithub-2.2.2-r6.apk2024-10-25 20:07 19K 
[   ]py3-agithub-pyc-2.2.2-r6.apk2024-10-25 20:07 22K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 20:07 29K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 20:07 60K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 20:07 446K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 20:07 51K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 20:07 12K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 20:07 9.2K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 20:07 15K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 20:07 21K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 20:07 19K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 20:07 30K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 20:07 16K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 20:07 24K 
[   ]py3-aiosmb-0.4.11-r0.apk2024-10-25 20:07 605K 
[   ]py3-aiosmb-pyc-0.4.11-r0.apk2024-10-25 20:07 1.1M 
[   ]py3-aiowinreg-0.0.12-r0.apk2024-10-25 20:07 23K 
[   ]py3-aiowinreg-pyc-0.0.12-r0.apk2024-10-25 20:07 45K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 20:07 388K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 20:07 18K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 20:07 673K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 20:07 3.6K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 20:07 3.3K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 20:07 21K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 20:07 29K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 20:07 18K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 20:07 22K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 20:07 275K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 20:07 3.3K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 20:07 8.5M 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 20:07 179K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 20:07 72K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 20:07 77K 
[   ]py3-apk3-3.0.0_rc4_git20250121-r0.apk2025-02-24 12:01 3.9K 
[   ]py3-apsw-3.49.1.0-r0.apk2025-02-25 10:17 846K 
[   ]py3-apsw-pyc-3.49.1.0-r0.apk2025-02-25 10:17 526K 
[   ]py3-arcus-5.3.0-r1.apk2024-10-25 20:07 72K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 20:07 13K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 20:07 26K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 20:07 5.0K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 20:07 4.5K 
[   ]py3-astral-3.2-r3.apk2024-10-25 20:07 37K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 20:07 59K 
[   ]py3-asyauth-0.0.21-r0.apk2024-10-25 20:07 79K 
[   ]py3-asyauth-pyc-0.0.21-r0.apk2024-10-25 20:07 171K 
[   ]py3-async-lru-2.0.4-r1.apk2024-10-25 20:07 7.3K 
[   ]py3-async-lru-pyc-2.0.4-r1.apk2024-10-25 20:07 8.6K 
[   ]py3-asysocks-0.2.13-r0.apk2024-10-25 20:07 87K 
[   ]py3-asysocks-pyc-0.2.13-r0.apk2024-10-25 20:07 232K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 20:07 98K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 20:07 191K 
[   ]py3-b2sdk-2.8.0-r0.apk2025-01-26 23:25 215K 
[   ]py3-b2sdk-pyc-2.8.0-r0.apk2025-01-26 23:25 403K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 20:07 6.9K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 20:07 7.2K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 20:07 46K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 20:07 69K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 20:07 16K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 20:07 4.3K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 20:07 11K 
[   ]py3-beartype-0.20.0-r0.apk2025-02-25 21:04 890K 
[   ]py3-beartype-pyc-0.20.0-r0.apk2025-02-25 21:04 650K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 20:07 17K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 20:07 10K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-25 07:04 40K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-25 07:04 49K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 20:07 28K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 20:07 29K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 14K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 20:07 34K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 20:07 13K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 20:07 370K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 20:07 11K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 20:07 18K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 12:11 68K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 12:11 149K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 12:11 2.5M 
[   ]py3-bookkeeper-4.17.1-r0.apk2024-10-25 20:07 43K 
[   ]py3-bookkeeper-pyc-4.17.1-r0.apk2024-10-25 20:07 67K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 20:07 4.9K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 20:07 4.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 20:07 3.3K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 20:07 3.1K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 20:07 4.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 20:07 3.7K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 20:07 3.2K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 20:07 2.6K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 20:07 6.1K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 20:07 10K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 20:07 7.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 20:07 4.8K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 20:07 5.6K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 20:07 4.7K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 20:07 5.2K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 20:07 4.6K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 20:07 3.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 20:07 4.1K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 20:07 4.3K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 20:07 12K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 20:07 19K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 20:07 11K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 20:07 16K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 20:07 32K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 20:07 54K 
[   ]py3-caldav-1.4.0-r0.apk2024-11-09 17:44 68K 
[   ]py3-caldav-pyc-1.4.0-r0.apk2024-11-09 17:44 90K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 20:07 286K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 20:07 560K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 20:07 57K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 20:07 103K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 20:07 121K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 20:07 3.1K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-25 07:04 99K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-25 07:04 43K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 20:07 8.7K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 20:07 9.1K 
[   ]py3-chameleon-4.5.4-r0.apk2024-10-25 20:07 97K 
[   ]py3-chameleon-pyc-4.5.4-r0.apk2024-10-25 20:07 131K 
[   ]py3-ciso8601-2.3.1-r1.apk2024-10-25 20:07 16K 
[   ]py3-cjkwrap-2.2-r4.apk2024-10-25 20:07 4.6K 
[   ]py3-cjkwrap-pyc-2.2-r4.apk2024-10-25 20:07 5.2K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 20:07 6.1K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 20:07 8.7K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 20:07 11K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 20:07 14K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 20:07 5.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 20:07 4.5K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 20:07 6.3K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 20:07 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 20:07 7.9K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 20:07 9.8K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 20:07 139K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 20:07 223K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 20:07 16K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 20:07 12K 
[   ]py3-colander-2.0-r2.apk2024-10-25 20:07 62K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 20:07 42K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 20:07 7.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 20:07 10K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 20:07 8.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 20:07 7.5K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 20:07 23K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 20:07 3.0K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 20:07 40K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 20:07 35K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 20:07 3.7K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 20:07 47K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 20:07 22K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 20:07 43K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 20:07 12K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 20:07 4.7K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 20:07 40K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 20:07 15K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 20:07 155K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 20:07 279K 
[   ]py3-cstruct-5.3-r1.apk2024-10-25 20:07 22K 
[   ]py3-cstruct-pyc-5.3-r1.apk2024-10-25 20:07 36K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:13 8.7K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:13 10K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 20:07 645K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 20:07 936K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 20:07 17K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 20:07 1.8K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 20:07 27K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 20:07 36K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 20:07 11K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 20:07 14K 
[   ]py3-dateparser-1.2.0-r0.apk2024-11-23 04:07 197K 
[   ]py3-dateparser-pyc-1.2.0-r0.apk2024-11-23 04:07 334K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 20:07 4.3K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 20:07 4.2K 
[   ]py3-dbus-fast-2.24.4-r0.apk2024-11-19 22:34 595K 
[   ]py3-dbus-fast-doc-2.24.4-r0.apk2024-11-19 22:34 5.4K 
[   ]py3-dbus-fast-pyc-2.24.4-r0.apk2024-11-19 22:34 126K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 20:07 13K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 20:07 2.3K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 20:07 20K 
[   ]py3-dep-logic-0.4.11-r0.apk2025-03-02 22:58 28K 
[   ]py3-dep-logic-pyc-0.4.11-r0.apk2025-03-02 22:58 53K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 20:07 22K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 20:07 37K 
[   ]py3-discid-1.2.0-r6.apk2024-10-25 20:07 24K 
[   ]py3-discid-pyc-1.2.0-r6.apk2024-10-25 20:07 13K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 20:07 47K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 20:07 49K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 20:07 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 20:07 15K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 20:07 366K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 20:07 33K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 20:07 4.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 20:07 5.0K 
[   ]py3-dnslib-0.9.25-r0.apk2024-10-25 20:07 52K 
[   ]py3-dnslib-pyc-0.9.25-r0.apk2024-10-25 20:07 109K 
[   ]py3-dogpile.cache-1.3.3-r0.apk2024-10-25 20:07 53K 
[   ]py3-dogpile.cache-pyc-1.3.3-r0.apk2024-10-25 20:07 90K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 20:07 77K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 20:07 133K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 20:07 25K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 20:07 34K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 20:07 8.4K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 20:07 8.7K 
[   ]py3-downloader-cli-0.3.4-r1.apk2024-10-25 20:07 12K 
[   ]py3-downloader-cli-pyc-0.3.4-r1.apk2024-10-25 20:07 14K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 20:07 17K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 20:07 18K 
[   ]py3-drf-yasg-1.21.7-r2.apk2024-10-25 20:07 4.1M 
[   ]py3-drf-yasg-pyc-1.21.7-r2.apk2024-10-25 20:07 97K 
[   ]py3-dt-schema-2025.02-r0.apk2025-03-02 15:13 80K 
[   ]py3-dt-schema-pyc-2025.02-r0.apk2025-03-02 15:13 51K 
[   ]py3-dunamai-1.23.0-r0.apk2024-12-09 21:55 26K 
[   ]py3-dunamai-pyc-1.23.0-r0.apk2024-12-09 21:55 43K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 20:07 221K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 20:07 9.1K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 20:07 6.3K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 20:07 27K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 20:07 3.6K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 20:07 123K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 20:07 190K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 20:07 15K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 20:07 2.0K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 20:07 39K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 20:07 58K 
[   ]py3-enzyme-0.5.1-r0.apk2024-10-25 20:07 23K 
[   ]py3-enzyme-pyc-0.5.1-r0.apk2024-10-25 20:07 19K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 20:07 7.6K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 20:07 2.5K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 20:07 8.3K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 20:07 14K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 20:07 33K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:35 332K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:35 336K 
[   ]py3-evohome-client-0.3.7-r4.apk2024-10-25 20:07 19K 
[   ]py3-evohome-client-pyc-0.3.7-r4.apk2024-10-25 20:07 27K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 20:07 38K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 20:07 4.2K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 20:07 40K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 20:07 62K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 20:07 18K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 20:07 27K 
[   ]py3-ffmpeg-0.2.0-r4.apk2024-10-25 20:07 24K 
[   ]py3-ffmpeg-pyc-0.2.0-r4.apk2024-10-25 20:07 33K 
[   ]py3-findpython-0.6.2-r0.apk2024-10-25 20:07 17K 
[   ]py3-findpython-pyc-0.6.2-r0.apk2024-10-25 20:07 30K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 20:07 14K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 20:07 21K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 20:07 5.2K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 20:07 2.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 13K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.9K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 20:07 18K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 20:07 3.3K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 20:07 6.2K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 20:07 6.0K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 20:07 15K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 20:07 17K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 20:07 18K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 20:07 5.4K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 20:07 7.1K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 20:07 5.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 20:07 6.7K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 20:07 4.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 20:07 5.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 20:07 3.7K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 20:07 3.6K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 20:07 2.2K 
[   ]py3-flask-accept-0.0.6-r1.apk2024-10-25 20:07 5.0K 
[   ]py3-flask-accept-pyc-0.0.6-r1.apk2024-10-25 20:07 3.7K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 20:07 6.5M 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 20:07 358K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 20:07 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 20:07 4.9K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 20:07 5.3K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 20:07 7.1K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 20:07 5.8K 
[   ]py3-flask-bootstrap-3.3.7.1-r8.apk2024-10-25 20:07 450K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r8.apk2024-10-25 20:07 11K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 20:07 13K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 20:07 18K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 20:07 4.8K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 20:07 3.9K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 20:07 3.3K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 20:07 86K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 20:07 6.3K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:57 11K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:57 14K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 20:07 3.2K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 20:07 2.8K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 20:07 3.2K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 20:07 2.5K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 20:07 8.0K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 20:07 11K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 20:07 4.1K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 20:07 3.4K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-25 07:04 27K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-25 07:04 47K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 20:07 5.6K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 20:07 7.9K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 20:07 16K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 20:07 26K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 20:07 5.6K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 20:07 3.9K 
[   ]py3-flask-migrate-4.0.7-r0.apk2024-10-25 20:07 13K 
[   ]py3-flask-migrate-pyc-4.0.7-r0.apk2024-10-25 20:07 18K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 20:07 8.2K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 20:07 11K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 20:07 172K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 20:07 95K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 6.2K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 20:07 115K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 20:07 20K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 20:07 40K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 20:07 59K 
[   ]py3-flask-security-5.4.3-r2.apk2024-10-25 20:07 267K 
[   ]py3-flask-security-pyc-5.4.3-r2.apk2024-10-25 20:07 211K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 20:07 7.9K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 20:07 7.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 20:07 9.0K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 20:07 9.7K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 20:07 40K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 20:07 89K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 20:07 161K 
[   ]py3-funcparserlib-1.0.1-r4.apk2024-10-25 20:07 17K 
[   ]py3-funcparserlib-pyc-1.0.1-r4.apk2024-10-25 20:07 19K 
[   ]py3-furl-2.1.3-r4.apk2024-10-25 20:07 21K 
[   ]py3-furl-pyc-2.1.3-r4.apk2024-10-25 20:07 32K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 20:07 22K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 20:07 20K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 20:07 30K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 20:07 12K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 20:07 14K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 20:07 128K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 20:07 227K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 20:07 10K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 20:07 13K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 20:07 47K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 20:07 84K 
[   ]py3-gnucash-5.10-r1.apk2025-02-17 12:07 306K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 20:07 9.2K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 20:07 11K 
[   ]py3-grequests-0.7.0-r2.apk2024-10-25 20:07 6.8K 
[   ]py3-grequests-pyc-0.7.0-r2.apk2024-10-25 20:07 5.9K 
[   ]py3-gtkspellcheck-5.0.3-r0.apk2024-12-07 20:32 45K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r0.apk2024-12-07 20:32 30K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 20:07 11K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 20:07 14K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 20:07 25K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 20:07 24K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 20:07 19K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 20:07 28K 
[   ]py3-hfst-3.16.0-r2.apk2024-10-25 20:07 357K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 20:07 70K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 20:07 107K 
[   ]py3-hishel-0.1.1-r0.apk2025-02-27 22:30 33K 
[   ]py3-hishel-pyc-0.1.1-r0.apk2025-02-27 22:30 72K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 20:07 162K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 20:07 22K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 20:07 4.6K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 20:07 3.3K 
[   ]py3-igraph-0.11.8-r0.apk2024-11-23 17:32 402K 
[   ]py3-igraph-dev-0.11.8-r0.apk2024-11-23 17:32 2.5K 
[   ]py3-igraph-pyc-0.11.8-r0.apk2024-11-23 17:32 371K 
[   ]py3-imageio-2.35.1-r0.apk2024-10-25 20:07 287K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 20:07 16K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 20:07 20K 
[   ]py3-imageio-pyc-2.35.1-r0.apk2024-10-25 20:07 504K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 20:07 229K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 20:07 243K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 20:07 13K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 20:07 20K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 20:07 4.4K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 20:07 3.7K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 20:07 19K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 20:07 10K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 20:07 25K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 20:07 9.4K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 20:07 15K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:37 12K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:37 4.6K 
[   ]py3-irc-20.4.1-r0.apk2024-10-25 20:07 41K 
[   ]py3-irc-pyc-20.4.1-r0.apk2024-10-25 20:07 71K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-25 07:04 43K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-25 07:04 67K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 20:07 269K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 20:07 9.7K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 13K 
[   ]py3-itemloaders-1.3.2-r0.apk2024-10-25 20:07 13K 
[   ]py3-itemloaders-pyc-1.3.2-r0.apk2024-10-25 20:07 17K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 20:07 6.0K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 20:07 5.3K 
[   ]py3-itunespy-1.6-r4.apk2024-10-25 20:07 10K 
[   ]py3-itunespy-pyc-1.6-r4.apk2024-10-25 20:07 15K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:57 12K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:57 13K 
[   ]py3-jaraco.logging-3.3.0-r0.apk2024-10-25 20:07 6.0K 
[   ]py3-jaraco.logging-pyc-3.3.0-r0.apk2024-10-25 20:07 5.8K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 20:07 7.6K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 20:07 9.5K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:49 6.7K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:49 8.1K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 09:04 10K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 09:04 16K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 20:07 5.9K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 20:07 6.1K 
[   ]py3-json5-0.9.25-r0.apk2024-11-30 20:49 25K 
[   ]py3-json5-pyc-0.9.25-r0.apk2024-11-30 20:49 29K 
[   ]py3-jsonschema417-4.17.3-r1.apk2024-10-25 20:07 73K 
[   ]py3-jsonschema417-pyc-4.17.3-r1.apk2024-10-25 20:07 125K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 20:07 8.3K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 20:07 9.3K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 20:07 125K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 20:07 245K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 20:07 9.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 20:07 2.0K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 20:07 13K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 20:07 17K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 20:07 8.4K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 20:07 9.5K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 20:07 174K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 20:07 110K 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-latex2mathml-3.77.0-r1.apk2024-10-25 20:07 72K 
[   ]py3-latex2mathml-pyc-3.77.0-r1.apk2024-10-25 20:07 35K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 20:07 16K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 20:07 9.5K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 20:07 25K 
[   ]py3-libcec-rpi-6.0.2-r4.apk2024-10-25 20:07 105K 
[   ]py3-libguestfs-1.52.0-r1.apk2024-10-25 20:07 177K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 20:07 13K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:40 30K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:40 50K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-25 20:07 28K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-25 20:07 33K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 20:07 20K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 20:07 30K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 20:07 33K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 20:07 25K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:53 33K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:53 71K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 20:07 21K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 20:07 23K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 14K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 20:07 1.6K 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 20:07 1.1M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 20:07 5.6M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 20:07 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 20:07 934K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 20:07 500K 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 20:07 10M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 20:07 1.8M 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 20:07 112K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 20:07 208K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 20:07 19M 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 20:07 45K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 20:07 221K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 20:07 7.6K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 20:07 230K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 20:07 57M 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 20:07 675K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 20:07 713K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 20:07 2.4M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 20:07 58K 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 20:07 2.2M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 20:07 4.7M 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 20:07 112K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:22 766K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:22 30K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 20:07 4.2K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 20:07 3.1K 
[   ]py3-logfury-1.0.1-r0.apk2024-10-25 20:07 7.9K 
[   ]py3-logfury-doc-1.0.1-r0.apk2024-10-25 20:07 2.4K 
[   ]py3-logfury-pyc-1.0.1-r0.apk2024-10-25 20:07 7.1K 
[   ]py3-logtop-0.7-r0.apk2024-10-25 20:07 19K 
[   ]py3-logtop-pyc-0.7-r0.apk2024-10-25 20:07 4.1K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 20:07 69K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 20:07 107K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 20:07 4.0K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 20:07 2.6K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 20:07 32K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 20:07 51K 
[   ]py3-ly-0.9.8-r1.apk2024-10-25 20:07 187K 
[   ]py3-ly-doc-0.9.8-r1.apk2024-10-25 20:07 8.1K 
[   ]py3-ly-pyc-0.9.8-r1.apk2024-10-25 20:07 355K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 20:07 16K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 20:07 2.0K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 20:07 191K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 20:07 123K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 20:07 22K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 20:07 4.2K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 20:07 36K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:13 39K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:13 26K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 20:07 58K 
[   ]py3-marisa-trie-1.2.1-r0.apk2024-11-11 13:12 138K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 20:07 47K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 20:07 75K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 22:37 48K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 20:07 5.3K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 20:07 4.5K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 22:37 85K 
[   ]py3-mbedtls-2.10.1-r2.apk2024-10-25 20:07 904K 
[   ]py3-mbedtls-pyc-2.10.1-r2.apk2024-10-25 20:07 27K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 20:07 143K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 20:07 296K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:04 26K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:04 42K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 18:52 10K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 18:52 23K 
[   ]py3-minidump-0.0.24-r0.apk2024-10-25 20:07 65K 
[   ]py3-minidump-pyc-0.0.24-r0.apk2024-10-25 20:07 130K 
[   ]py3-minikerberos-0.4.4-r1.apk2024-10-25 20:07 129K 
[   ]py3-minikerberos-pyc-0.4.4-r1.apk2024-10-25 20:07 264K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:53 76K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:53 160K 
[   ]py3-mistletoe-1.2.1-r2.apk2024-10-25 20:07 44K 
[   ]py3-mistletoe-pyc-1.2.1-r2.apk2024-10-25 20:07 92K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 20:07 95K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 20:07 2.4K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 20:07 9.7K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 20:07 25K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 20:07 48K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 20:07 25K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 20:07 37K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:26 28K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:26 34K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 20:07 46K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 20:07 74K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 20:07 25K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 20:07 36K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 20:07 7.4K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 20:07 8.2K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-25 20:07 94K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-25 20:07 156K 
[   ]py3-msldap-0.5.14-r0.apk2025-02-25 10:17 141K 
[   ]py3-msldap-pyc-0.5.14-r0.apk2025-02-25 10:17 324K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 13:10 51K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 20:07 9.4K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 20:07 9.9K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 20:07 68K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 20:07 107K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 20:07 201K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 20:07 9.2K 
[   ]py3-netmiko-4.5.0-r0.apk2025-02-13 07:33 179K 
[   ]py3-netmiko-pyc-4.5.0-r0.apk2025-02-13 07:33 348K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 20:07 20K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 20:07 25K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 20:07 9.4K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 20:07 9.9K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 20:07 7.6K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 20:07 21K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 20:07 32K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 20:07 7.4K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 20:07 8.6K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:04 21K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:04 28K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 20:07 4.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 20:07 78K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 20:07 7.9M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 20:07 243K 
[   ]py3-onnxruntime-1.21.0-r0.apk2025-03-11 20:40 11M 
[   ]py3-onnxruntime-pyc-1.21.0-r0.apk2025-03-11 20:40 1.3M 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 20:07 7.6K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 20:07 12K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:56 155K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 20:07 8.2K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 20:07 10K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 20:07 498K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 20:07 42K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 20:07 12K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 20:07 17K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 20:07 8.2K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 20:07 46K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 20:07 91K 
[   ]py3-ovos-bus-client-1.0.4-r0.apk2024-11-21 13:31 45K 
[   ]py3-ovos-bus-client-pyc-1.0.4-r0.apk2024-11-21 13:31 81K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 20:07 103K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 20:07 164K 
[   ]py3-ovos-config-1.0.0-r0.apk2024-11-25 12:41 43K 
[   ]py3-ovos-config-pyc-1.0.0-r0.apk2024-11-25 12:41 34K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 20:07 358K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 20:07 437K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk2024-10-25 20:07 9.0K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk2024-10-25 20:07 4.8K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 20:07 550K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 20:07 86K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 20:07 47K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 20:07 104K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 20:07 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 20:07 3.4K 
[   ]py3-ovos-ocp-news-plugin-0.0.4-r0.apk2024-10-25 20:07 11K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk2024-10-25 20:07 8.9K 
[   ]py3-ovos-ocp-rss-plugin-0.0.3-r0.apk2024-10-25 20:07 8.3K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk2024-10-25 20:07 3.7K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk2024-11-21 13:31 8.6K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk2024-11-21 13:31 5.0K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 20:07 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 20:07 4.4K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:31 95K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:31 11K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:31 12K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:31 9.7K 
[   ]py3-ovos-phal-plugin-system-1.0.1-r0.apk2024-11-21 13:31 15K 
[   ]py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk2024-11-21 13:31 9.6K 
[   ]py3-ovos-plugin-manager-0.6.0-r0.apk2024-11-21 13:31 92K 
[   ]py3-ovos-plugin-manager-pyc-0.6.0-r0.apk2024-11-21 13:31 178K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 20:07 10K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 20:07 6.8K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 20:07 8.3K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 20:07 4.1K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 20:07 9.5K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 20:07 5.4K 
[   ]py3-ovos-utils-0.5.4-r0.apk2024-11-25 12:41 69K 
[   ]py3-ovos-utils-pyc-0.5.4-r0.apk2024-11-25 12:41 124K 
[   ]py3-ovos-workshop-3.1.1-r0.apk2024-11-25 12:41 87K 
[   ]py3-ovos-workshop-pyc-3.1.1-r0.apk2024-11-25 12:41 156K 
[   ]py3-owslib-0.32.1-r0.apk2025-01-25 07:04 194K 
[   ]py3-owslib-pyc-0.32.1-r0.apk2025-01-25 07:04 423K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 20:07 391K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 20:07 4.0K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 20:07 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 20:07 12K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 20:07 11K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 20:07 13K 
[   ]py3-pathvalidate-3.2.3-r0.apk2025-01-06 10:40 19K 
[   ]py3-pathvalidate-pyc-3.2.3-r0.apk2025-01-06 10:40 33K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 20:07 6.3K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 20:07 7.1K 
[   ]py3-pbs-installer-2024.12.19-r0.apk2024-12-31 01:10 51K 
[   ]py3-pbs-installer-pyc-2024.12.19-r0.apk2024-12-31 01:10 57K 
[   ]py3-pdal-3.4.5-r0.apk2024-11-05 21:52 165K 
[   ]py3-pdal-pyc-3.4.5-r0.apk2024-11-05 21:52 13K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 20:07 234K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 20:07 147K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 20:07 9.8K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 20:07 13K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 20:07 8.9K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 20:07 11K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 20:07 17K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 20:07 20K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 20:07 34K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 20:07 7.5K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 20:07 5.4K 
[   ]py3-pigpio-79-r4.apk2024-10-25 20:07 93K 
[   ]py3-pika-1.3.2-r1.apk2024-10-25 20:07 143K 
[   ]py3-pika-pyc-1.3.2-r1.apk2024-10-25 20:07 246K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 20:07 41K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 20:07 36K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 20:07 7.0K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 20:07 4.7K 
[   ]py3-piper-phonemize-2023.11.14.4-r7.apk2025-03-11 20:40 126K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r7.apk2025-03-11 20:40 3.3K 
[   ]py3-pivy-0.6.9-r2.apk2024-11-23 22:51 1.9M 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 20:07 6.9K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 20:07 8.5K 
[   ]py3-plexapi-4.16.1-r0.apk2025-01-12 17:48 153K 
[   ]py3-plexapi-doc-4.16.1-r0.apk2025-01-12 17:48 84K 
[   ]py3-plexapi-pyc-4.16.1-r0.apk2025-01-12 17:48 306K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:28 19K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:28 33K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 20:07 15K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 20:07 25K 
[   ]py3-poetry-dynamic-versioning-1.7.1-r0.apk2025-01-29 06:49 20K 
[   ]py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk2025-01-29 06:49 25K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:00 118K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 20:52 16K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 20:52 17K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 20:07 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 20:07 16K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 20:07 8.9K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 20:07 2.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 20:07 5.0K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 20:07 7.4K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 20:07 9.9K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:18 9.3K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:18 12K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 28K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 20:07 21K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 20:07 38K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 20:07 18K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 20:07 11K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 20:07 52K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 20:07 92K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 20:07 35K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 20:07 45K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 20:07 15K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 20:07 17K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 20:07 360K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 20:07 5.5K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 20:07 3.7K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 20:07 44K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 20:07 11K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 20:07 3.6K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 20:07 13K 
[   ]py3-pygelbooru-0.5.0-r4.apk2024-10-25 20:07 8.2K 
[   ]py3-pygelbooru-pyc-0.5.0-r4.apk2024-10-25 20:07 11K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 20:07 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 20:07 13K 
[   ]py3-pyglet-2.1.0-r0.apk2025-01-12 09:01 870K 
[   ]py3-pyglet-pyc-2.1.0-r0.apk2025-01-12 09:01 1.6M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:42 1.4M 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 20:07 35K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 20:07 5.1K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 20:07 15K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 20:07 10K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 20:07 1.9M 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 20:07 502K 
[   ]py3-pyinstrument-5.0.1-r0.apk2025-01-25 07:04 108K 
[   ]py3-pyinstrument-pyc-5.0.1-r0.apk2025-01-25 07:04 100K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 20:07 20K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 20:07 9.4K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 20:07 17K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 20:07 8.9K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 20:07 18K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 20:07 35K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 20:07 52K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 20:07 32K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 20:07 22K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 20:07 29K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 20:07 23K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 20:07 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 20:07 17K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 20:07 32K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 20:07 9.2K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 20:07 9.8K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-17 12:07 12K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-17 12:07 6.5K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:42 243K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 20:07 76K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 20:07 42K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 20:07 52K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 20:07 2.1K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 20:07 90K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 20:07 37K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 20:07 4.3K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 20:07 47K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 20:07 9.6K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 20:07 18K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 20:07 22K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 20:07 26K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 20:07 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 20:07 14K 
[   ]py3-pysequoia-0.1.20-r3.apk2024-10-25 20:07 1.5M 
[   ]py3-pysequoia-pyc-0.1.20-r3.apk2024-10-25 20:07 1.9K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 20:07 44K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 20:07 78K 
[   ]py3-pysonic-1.0.2-r0.apk2025-02-19 22:45 35K 
[   ]py3-pysonic-pyc-1.0.2-r0.apk2025-02-19 22:45 32K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 20:07 56K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 20:07 63K 
[   ]py3-pysrt-1.1.2-r4.apk2024-10-25 20:07 26K 
[   ]py3-pysrt-pyc-1.1.2-r4.apk2024-10-25 20:07 23K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 20:07 68K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 20:07 97K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:09 36K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:09 68K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 20:17 39K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 20:17 2.9K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 20:07 7.0K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 20:07 6.0K 
[   ]py3-pyte-0.8.2-r2.apk2024-10-25 20:07 30K 
[   ]py3-pyte-pyc-0.8.2-r2.apk2024-10-25 20:07 39K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 20:07 5.9K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 20:07 7.0K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 20:07 4.5K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 20:07 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 20:07 22K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 20:07 10K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 20:07 7.9K 
[   ]py3-pytest-regtest-2.3.2-r1.apk2025-02-17 12:07 16K 
[   ]py3-pytest-regtest-pyc-2.3.2-r1.apk2025-02-17 12:07 30K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 20:07 20K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 20:07 25K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 20:07 7.4K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 20:07 9.3K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 20:07 39K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 20:07 68K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 20:07 8.6K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 20:07 2.3K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 20:07 8.4K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 20:07 806K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 20:07 293K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:46 23K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:46 40K 
[   ]py3-pyzor-1.0.0-r11.apk2024-10-25 20:07 40K 
[   ]py3-pyzor-pyc-1.0.0-r11.apk2024-10-25 20:07 54K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 20:07 37K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 20:07 98K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 20:07 56K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 20:07 180K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 20:07 8.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 20:07 7.8K 
[   ]py3-queuelib-1.7.0-r0.apk2024-10-25 20:07 13K 
[   ]py3-queuelib-pyc-1.7.0-r0.apk2024-10-25 20:07 25K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 20:07 11K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 20:07 15K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 20:07 32K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 20:07 5.1K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 20:07 50K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 20:07 12K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 20:07 18K 
[   ]py3-recurring-ical-events-3.6.0-r0.apk2025-03-09 15:36 51K 
[   ]py3-recurring-ical-events-pyc-3.6.0-r0.apk2025-03-09 15:36 48K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 20:07 37K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 20:07 54K 
[   ]py3-remind-0.19.1-r0.apk2024-10-25 20:07 25K 
[   ]py3-remind-pyc-0.19.1-r0.apk2024-10-25 20:07 23K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-19 22:34 50K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-19 22:34 94K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:24 12K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:24 11K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 20:07 5.5K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 20:07 6.6K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 20:07 13K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 20:07 12K 
[   ]py3-rfc3987-1.3.8-r6.apk2024-10-25 20:07 21K 
[   ]py3-rfc3987-pyc-1.3.8-r6.apk2024-10-25 20:07 11K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 20:07 31K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 20:07 40K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 20:07 13K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 20:07 11K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 20:07 47K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 20:07 91K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 20:07 29K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 20:07 54K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 20:07 36K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 20:07 16K 
[   ]py3-rst-0.1-r9.apk2024-10-25 20:07 5.6K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 20:07 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 20:07 6.1K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 20:07 6.6K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 20:07 12K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 20:07 24K 
[   ]py3-rtree-1.3.0-r0.apk2025-01-04 05:20 25K 
[   ]py3-rtree-pyc-1.3.0-r0.apk2025-01-04 05:20 44K 
[   ]py3-schema-0.7.5-r4.apk2024-10-25 20:07 18K 
[   ]py3-schema-pyc-0.7.5-r4.apk2024-10-25 20:07 18K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 20:07 56K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 20:07 74K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 20:07 240K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 20:07 482K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 20:07 101K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 20:07 4.9K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 20:07 2.5M 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 42K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 20:07 5.4K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 20:07 6.0K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:13 38K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:13 55K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 20:07 44K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 20:07 7.2K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 20:07 79K 
[   ]py3-simber-0.2.6-r4.apk2024-10-25 20:07 12K 
[   ]py3-simber-pyc-0.2.6-r4.apk2024-10-25 20:07 16K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 20:07 8.0K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 20:07 5.8K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 20:07 214K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 20:07 157K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 20:07 7.9K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 20:07 12K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 20:07 7.5K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 20:07 8.1K 
[   ]py3-slidge-style-parser-0.1.8-r0.apk2024-10-25 20:07 206K 
[   ]py3-slidge-style-parser-pyc-0.1.8-r0.apk2024-10-25 20:07 1.9K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 20:07 382K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 20:07 5.8K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 20:07 729K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 20:07 15K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 20:07 26K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 22:54 47K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 22:54 95K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 20:07 17K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 20:07 27K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 20:07 11K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 20:07 14K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 20:07 30K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 20:07 44K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 20:07 14K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 20:07 22K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-18 22:39 31K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-18 22:39 59K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 20:07 2.0K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 20:07 1.2M 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 20:07 2.3K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 20:07 65K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 20:07 1.8K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 20:07 81K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 20:07 43K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 20:07 30K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 20:07 2.5K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 20:07 2.4M 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 20:07 5.5K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 20:07 8.8K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 20:07 2.2K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 20:07 9.3K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 20:07 9.0K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 20:07 12K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 20:07 7.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 20:07 9.1K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 20:07 5.7K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 20:07 4.2K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 20:07 18K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 20:07 34K 
[   ]py3-sphinxcontrib-doxylink-1.12.3-r0.apk2024-12-06 22:57 12K 
[   ]py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk2024-12-06 22:57 16K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 20:07 9.1K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 20:07 3.5K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 20:07 3.9K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 20:07 3.3K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 20:07 17K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 20:07 6.6K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 20:07 7.9K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 20:07 15K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 20:07 18K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 20:07 4.3K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 20:07 34K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 20:07 21K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 20:07 44K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 20:07 15K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 20:07 12K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 20:07 7.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 20:07 4.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 20:07 3.1K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 20:07 8.7K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-phpdomain-0.12.0-r0.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk2024-10-25 20:07 17K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 20:07 16K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 20:07 24K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 20:07 11K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 20:07 20K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 20:07 7.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:07 9.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r3.apk2024-10-25 20:07 5.0K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk2024-10-25 20:07 5.5K 
[   ]py3-sphinxcontrib-spelling-8.0.0-r3.apk2024-10-25 20:07 15K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk2024-10-25 20:07 19K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 20:07 7.3K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 20:07 4.9K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 20:07 6.1K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 20:07 5.7K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 20:07 13K 
[   ]py3-spin-0.8-r0.apk2024-10-25 20:07 19K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 20:07 24K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 20:07 6.1K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 20:07 6.3K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:52 118K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:52 219K 
[   ]py3-spotipy-2.24.0-r1.apk2024-10-25 20:07 30K 
[   ]py3-spotipy-pyc-2.24.0-r1.apk2024-10-25 20:07 50K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:03 26K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:03 41K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 20:07 7.7K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 20:07 10K 
[   ]py3-stringcase-1.2.0-r8.apk2024-10-25 20:07 4.8K 
[   ]py3-stringcase-pyc-1.2.0-r8.apk2024-10-25 20:07 3.9K 
[   ]py3-svgpath-6.3-r3.apk2024-10-25 20:07 17K 
[   ]py3-svgpath-pyc-6.3-r3.apk2024-10-25 20:07 22K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 20:07 2.5M 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 20:07 2.1K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r0.apk2024-10-25 20:07 9.8K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk2024-10-25 20:07 5.6K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 20:07 6.9K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 20:07 6.6K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 20:07 23K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 20:07 52K 
[   ]py3-telegram-0.18.0-r3.apk2024-10-25 20:07 14K 
[   ]py3-telegram-bot-21.10-r0.apk2025-02-17 12:07 454K 
[   ]py3-telegram-bot-pyc-21.10-r0.apk2025-02-17 12:07 724K 
[   ]py3-telegram-pyc-0.18.0-r3.apk2024-10-25 20:07 21K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 20:07 9.2K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 20:07 13K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 20:07 21K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 20:07 31K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 20:07 15K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 20:07 21K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 20:07 17K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 20:07 16K 
[   ]py3-textual-0.87.1-r0.apk2024-12-07 20:22 567K 
[   ]py3-textual-pyc-0.87.1-r0.apk2024-12-07 20:22 1.1M 
[   ]py3-tg-0.19.0-r5.apk2024-10-25 20:07 73K 
[   ]py3-tg-pyc-0.19.0-r5.apk2024-10-25 20:07 81K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 20:07 10K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 20:07 9.0K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 20:07 6.0K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 20:07 6.4K 
[   ]py3-tidalapi-0.7.4-r1.apk2024-10-25 20:07 36K 
[   ]py3-tidalapi-pyc-0.7.4-r1.apk2024-10-25 20:07 62K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 20:07 24K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 20:07 2.8K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 20:07 28K 
[   ]py3-tls_parser-2.0.1-r1.apk2024-10-25 20:07 9.2K 
[   ]py3-tls_parser-pyc-2.0.1-r1.apk2024-10-25 20:07 17K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 20:07 179K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 20:07 275K 
[   ]py3-tokenizers-0.21.0-r0.apk2024-11-27 19:54 1.6M 
[   ]py3-tokenizers-pyc-0.21.0-r0.apk2024-11-27 19:54 29K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 20:07 286K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 20:07 236K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 20:07 98K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 20:07 129K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 20:07 9.2K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 20:07 8.8K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 20:07 34K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 20:07 55K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 01:33 17K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 01:33 26K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 20:07 24K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 20:07 39K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 20:07 9.9K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 20:07 14K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 20:07 11K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 20:07 16K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 20:07 5.7K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 20:07 2.2K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 20:07 6.8K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 20:07 9.1K 
[   ]py3-unearth-0.17.2-r0.apk2024-10-25 20:07 41K 
[   ]py3-unearth-pyc-0.17.2-r0.apk2024-10-25 20:07 82K 
[   ]py3-unicorn-hat-2.1.2-r6.apk2024-11-06 09:42 16K 
[   ]py3-unicrypto-0.0.10-r2.apk2024-10-25 20:07 61K 
[   ]py3-unicrypto-pyc-0.0.10-r2.apk2024-10-25 20:07 94K 
[   ]py3-unidns-0.0.1-r2.apk2024-10-25 20:07 14K 
[   ]py3-unidns-examples-0.0.1-r2.apk2024-10-25 20:07 2.7K 
[   ]py3-unidns-pyc-0.0.1-r2.apk2024-10-25 20:07 22K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 20:07 9.8K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 20:07 8.7K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 20:07 15K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 20:07 25K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 20:07 14K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 20:07 15K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 20:07 3.5K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 20:07 2.8K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 20:07 19K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 20:07 8.6K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 20:07 11K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 20:07 17K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-virtualenvwrapper-6.1.0-r1.apk2024-10-25 20:07 22K 
[   ]py3-virtualenvwrapper-pyc-6.1.0-r1.apk2024-10-25 20:07 12K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 20:07 4.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 20:07 2.6K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 20:07 41K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 20:07 80K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 20:07 18K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 20:07 20K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 20:07 7.6K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 20:07 13K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:49 22K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:49 12K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 20:07 13K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 20:07 14K 
[   ]py3-winacl-0.1.9-r0.apk2024-10-25 20:07 84K 
[   ]py3-winacl-pyc-0.1.9-r0.apk2024-10-25 20:07 132K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 20:07 17K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 20:07 28K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 20:07 53K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 20:07 111K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 20:07 13K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 20:07 25K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:13 12K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:13 7.1K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:04 34K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:31 312K 
[   ]py3-xlwt-1.3.0-r9.apk2024-10-25 20:07 95K 
[   ]py3-xlwt-pyc-1.3.0-r9.apk2024-10-25 20:07 166K 
[   ]py3-xsdata-24.12-r0.apk2024-12-23 21:55 189K 
[   ]py3-xsdata-pyc-24.12-r0.apk2024-12-23 21:55 389K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 20:07 32K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 20:07 47K 
[   ]py3-yara-4.5.1-r0.apk2024-10-25 20:07 17K 
[   ]py3-yosys-0.42-r0.apk2024-10-25 20:07 1.8K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:42 52K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:42 68K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 20:07 45K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 20:07 29K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 20:07 39K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 20:07 49K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 20:07 15K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 20:07 8.0K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 20:07 45K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 20:07 61K 
[   ]pyinfra-3.2-r0.apk2025-01-25 07:04 186K 
[   ]pyinfra-pyc-3.2-r0.apk2025-01-25 07:04 349K 
[   ]pympress-1.8.5-r1.apk2024-10-25 20:07 181K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 20:07 348K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 20:07 56K 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 20:07 182K 
[   ]pyonji-0.1.0-r2.apk2025-02-10 01:33 2.6M 
[   ]pypykatz-0.6.11-r0.apk2025-02-28 21:50 315K 
[   ]pypykatz-pyc-0.6.11-r0.apk2025-02-28 21:50 721K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 20:07 871K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 20:07 113K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 20:07 810K 
[   ]qbittorrent-cli-2.1.0-r2.apk2025-02-10 01:33 5.2M 
[   ]qdjango-0.6.2-r1.apk2024-10-25 20:07 93K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 20:07 14K 
[   ]qflipper-1.3.3-r1.apk2024-10-25 20:07 443K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 20:07 1.0M 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 20:07 1.5K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 20:07 6.8K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 20:07 28K 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:00 1.0M 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:00 4.2K 
[   ]qperf-0.4.11-r1.apk2024-10-25 20:07 33K 
[   ]qperf-doc-0.4.11-r1.apk2024-10-25 20:07 5.5K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 20:07 171K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 20:07 871K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 03:04 438K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 03:04 11K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 20:07 418K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 20:07 4.4K 
[   ]qt-jdenticon-0.3.1-r0.apk2024-10-25 20:07 25K 
[   ]qt-jdenticon-doc-0.3.1-r0.apk2024-10-25 20:07 2.2K 
[   ]qt5ct-1.8-r0.apk2024-10-25 20:07 218K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 20:07 1.5K 
[   ]qt6ct-0.9-r3.apk2024-12-09 19:40 189K 
[   ]qtile-0.30.0-r0.apk2025-02-10 21:13 436K 
[   ]qtile-pyc-0.30.0-r0.apk2025-02-10 21:13 837K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 20:07 417K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 20:07 2.1K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 20:07 466K 
[   ]qucs-s-1.1.0-r1.apk2024-10-25 20:07 3.2M 
[   ]qucs-s-doc-1.1.0-r1.apk2024-10-25 20:07 2.3K 
[   ]qucs-s-lang-1.1.0-r1.apk2024-10-25 20:07 865K 
[   ]queercat-1.0.0-r0.apk2024-10-25 20:07 8.0K 
[   ]quodlibet-4.6.0-r1.apk2024-10-25 20:07 1.0M 
[   ]quodlibet-bash-completion-4.6.0-r1.apk2024-10-25 20:07 4.7K 
[   ]quodlibet-doc-4.6.0-r1.apk2024-10-25 20:07 8.7K 
[   ]quodlibet-lang-4.6.0-r1.apk2024-10-25 20:07 1.2M 
[   ]quodlibet-pyc-4.6.0-r1.apk2024-10-25 20:07 1.8M 
[   ]quodlibet-zsh-completion-4.6.0-r1.apk2024-10-25 20:07 2.7K 
[   ]randrctl-1.10.0-r0.apk2024-11-19 22:34 28K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-19 22:34 31K 
[   ]rankwidth-0.9-r3.apk2024-10-25 20:07 5.1K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 20:07 2.9K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 20:07 3.0K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 20:07 4.8K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 20:07 4.0K 
[   ]raspberrypi-usbboot-20210701-r3.apk2024-10-25 20:07 1.5M 
[   ]raspi2png-0.0.20190727-r0.apk2024-10-25 20:07 6.2K 
[   ]rathole-0.5.0-r0.apk2024-10-25 20:07 1.4M 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 20:07 6.0M 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 20:07 3.6K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 20:07 6.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 20:07 4.7K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 20:07 5.4K 
[   ]rauc-1.10.1-r0.apk2024-10-25 20:07 152K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 20:07 4.1K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 20:07 3.7K 
[   ]razercfg-0.42-r7.apk2024-10-25 20:07 72K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 20:07 19K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 20:07 1.7K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 20:07 36K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 20:07 319K 
[   ]rcon-cli-1.6.2-r8.apk2025-02-10 01:33 2.4M 
[   ]rdedup-3.2.1-r5.apk2024-10-25 20:07 831K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:23 26K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:23 3.7K 
[   ]reaction-1.4.1-r3.apk2025-02-10 01:33 2.0M 
[   ]reaction-openrc-1.4.1-r3.apk2025-02-10 01:33 1.8K 
[   ]reaction-tools-1.4.1-r3.apk2025-02-10 01:33 20K 
[   ]readosm-1.1.0-r2.apk2024-10-25 20:07 14K 
[   ]readosm-dev-1.1.0-r2.apk2024-10-25 20:07 18K 
[   ]reason-3.8.2-r1.apk2024-10-25 20:07 18M 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 20:07 24M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 20:07 371K 
[   ]recoll-1.37.5-r1.apk2024-10-25 20:07 2.7M 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 20:07 53K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 20:07 21K 
[   ]refine-0.5.4-r0.apk2025-03-11 20:40 33K 
[   ]refine-lang-0.5.4-r0.apk2025-03-11 20:40 25K 
[   ]reg-0.16.1-r25.apk2025-02-10 01:33 4.2M 
[   ]regal-0.29.2-r2.apk2025-02-10 01:33 9.4M 
[   ]regal-bash-completion-0.29.2-r2.apk2025-02-10 01:33 5.0K 
[   ]regal-fish-completion-0.29.2-r2.apk2025-02-10 01:33 4.3K 
[   ]regal-zsh-completion-0.29.2-r2.apk2025-02-10 01:33 4.0K 
[   ]regclient-0.7.1-r2.apk2025-02-10 01:33 12M 
[   ]remake-1.5-r1.apk2024-10-25 20:07 137K 
[   ]remake-dev-1.5-r1.apk2024-10-25 20:07 2.9K 
[   ]remake-doc-1.5-r1.apk2024-10-25 20:07 202K 
[   ]remake-make-1.5-r1.apk2024-10-25 20:07 1.5K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 20:07 18K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 20:07 6.1K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 20:07 1.2M 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 20:07 1.6K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 20:07 6.5K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 20:07 4.1K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 20:07 1.6K 
[   ]repo-2.52-r0.apk2025-02-23 20:11 17K 
[   ]repo-doc-2.52-r0.apk2025-02-23 20:11 38K 
[   ]repowerd-2023.07-r3.apk2025-02-17 12:07 838K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-17 12:07 1.7K 
[   ]reprotest-0.7.29-r0.apk2024-12-23 04:07 80K 
[   ]reprotest-pyc-0.7.29-r0.apk2024-12-23 04:07 103K 
[   ]reredirect-0.3-r0.apk2024-10-25 20:07 7.7K 
[   ]reredirect-doc-0.3-r0.apk2024-10-25 20:07 2.8K 
[   ]resources-1.7.1-r0.apk2025-03-10 21:12 2.4M 
[   ]resources-lang-1.7.1-r0.apk2025-03-10 21:12 105K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 20:07 750K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 20:07 12K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 20:07 5.9K 
[   ]restic.mk-0.4.0-r0.apk2024-11-21 03:03 2.8K 
[   ]restinio-0.6.19-r1.apk2024-12-14 18:56 1.2K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 18:56 268K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 20:07 888K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 20:07 3.4K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 20:07 2.1K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 20:07 15K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 20:07 3.5K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 20:07 12K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 20:07 44K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 20:07 73K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 20:07 530K 
[   ]rime-ls-0.4.1-r0.apk2024-12-25 15:40 1.2M 
[   ]rinetd-0.73-r0.apk2024-10-25 20:07 14K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 20:07 16K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 20:07 1.7K 
[   ]rio-0.2.9-r0.apk2025-03-11 20:40 10M 
[   ]rio-doc-0.2.9-r0.apk2025-03-11 20:40 2.2K 
[   ]rio-terminfo-0.2.9-r0.apk2025-03-11 20:40 3.4K 
[   ]ripdrag-0.4.10-r0.apk2024-10-25 20:07 342K 
[   ]river-luatile-0.1.3-r0.apk2024-10-25 20:07 419K 
[   ]river-shifttags-0.2.1-r0.apk2024-10-25 20:07 6.1K 
[   ]river-shifttags-doc-0.2.1-r0.apk2024-10-25 20:07 2.3K 
[   ]rizin-0.6.3-r1.apk2024-10-25 20:07 2.7M 
[   ]rizin-dev-0.6.3-r1.apk2024-10-25 20:07 306K 
[   ]rizin-doc-0.6.3-r1.apk2024-10-25 20:07 18K 
[   ]rizin-libs-0.6.3-r1.apk2024-10-25 20:07 4.0M 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 20:07 58K 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 20:07 3.0K 
[   ]rke-1.4.3-r12.apk2025-02-10 01:33 19M 
[   ]rke-doc-1.4.3-r12.apk2025-02-10 01:33 2.9K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 20:07 141K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 20:07 18K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 20:07 19K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 20:07 96K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 20:07 124K 
[   ]rofi-blocks-0.1.0-r0.apk2024-10-25 20:07 11K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 20:07 4.9K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 20:07 8.8K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 20:07 5.0K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 20:07 66K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 20:07 119K 
[   ]roswell-24.10.115-r0.apk2024-10-25 20:07 110K 
[   ]roswell-doc-24.10.115-r0.apk2024-10-25 20:07 18K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 20:07 605K 
[   ]rpi-imager-1.9.0-r0.apk2024-10-25 20:07 692K 
[   ]rpi-imager-doc-1.9.0-r0.apk2024-10-25 20:07 3.1K 
[   ]rpicam-apps-1.5.3-r0.apk2025-01-25 07:04 420K 
[   ]rpicam-apps-doc-1.5.3-r0.apk2025-01-25 07:04 2.3K 
[   ]rss-email-0.5.0-r0.apk2024-10-25 20:07 2.0M 
[   ]rss-email-doc-0.5.0-r0.apk2024-10-25 20:07 6.4K 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 8.4K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.8K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 20:07 60K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 20:07 8.2K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 20:07 4.0M 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 20:07 29K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 20:07 14K 
[   ]rtptools-1.22-r2.apk2024-10-25 20:07 40K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 20:07 13K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 20:07 759K 
[   ]ruby-build-20250215-r0.apk2025-03-05 06:07 89K 
[   ]ruby-build-doc-20250215-r0.apk2025-03-05 06:07 4.7K 
[   ]ruby-build-runtime-20250215-r0.apk2025-03-05 06:07 1.3K 
[   ]ruby-libguestfs-1.52.0-r1.apk2024-10-25 20:07 106K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-06 22:31 21K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-06 22:31 35K 
[   ]runst-0.1.7-r0.apk2024-10-25 20:07 1.6M 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 20:07 7.7K 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-25 20:07 2.4M 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-25 20:07 2.2K 
[   ]rustic-0.9.3-r0.apk2024-10-25 20:07 6.2M 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 20:07 8.6K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 20:07 17K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 20:07 13K 
[   ]ruuvi-prometheus-0.1.7-r7.apk2025-02-10 01:33 3.4M 
[   ]ruuvi-prometheus-openrc-0.1.7-r7.apk2025-02-10 01:33 1.6K 
[   ]rvlprog-0.91-r2.apk2024-10-25 20:07 25K 
[   ]ry-0.5.2-r1.apk2024-10-25 20:07 4.6K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 20:07 2.0K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 20:07 2.3K 
[   ]rygel-0.44.1-r0.apk2024-12-01 12:57 742K 
[   ]rygel-dev-0.44.1-r0.apk2024-12-01 12:57 43K 
[   ]rygel-doc-0.44.1-r0.apk2024-12-01 12:57 9.6K 
[   ]rygel-lang-0.44.1-r0.apk2024-12-01 12:57 567K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 20:07 52K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 20:07 8.5K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 20:07 43K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 20:07 9.6K 
[   ]s5cmd-2.3.0-r0.apk2025-03-04 21:02 5.1M 
[   ]saait-0.8-r0.apk2024-10-25 20:07 7.1K 
[   ]saait-doc-0.8-r0.apk2024-10-25 20:07 13K 
[   ]sacc-1.07-r0.apk2024-10-25 20:07 15K 
[   ]sacc-doc-1.07-r0.apk2024-10-25 20:07 2.8K 
[   ]sandbar-0.1-r0.apk2024-10-25 20:07 15K 
[   ]satellite-1.0.0-r25.apk2025-02-10 01:33 2.0M 
[   ]satellite-doc-1.0.0-r25.apk2025-02-10 01:33 3.0K 
[   ]satellite-openrc-1.0.0-r25.apk2025-02-10 01:33 1.8K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 18:49 934M 
[   ]sbase-0_git20210730-r3.apk2024-10-25 20:07 120K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 20:07 58K 
[   ]sblg-0.5.11-r0.apk2024-10-25 20:07 37K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 20:07 1.3M 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 20:07 51K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 20:07 22K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 20:07 35K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 20:07 95K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 20:07 4.5K 
[   ]sc-controller-0.5.0-r0.apk2025-01-27 21:37 1.3M 
[   ]sc-controller-pyc-0.5.0-r0.apk2025-01-27 21:37 813K 
[   ]sc-im-0.8.4-r0.apk2024-10-25 20:07 169K 
[   ]sc-im-doc-0.8.4-r0.apk2024-10-25 20:07 4.7K 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-08 23:43 9.4M 
[   ]scalingo-1.30.0-r7.apk2025-02-10 01:33 5.0M 
[   ]schismtracker-20231029-r0.apk2024-10-25 20:07 378K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 20:07 6.2K 
[   ]sciteco-2.3.0-r0.apk2024-12-29 08:41 1.2M 
[   ]sciteco-common-2.3.0-r0.apk2024-12-29 08:41 150K 
[   ]sciteco-doc-2.3.0-r0.apk2024-12-29 08:41 111K 
[   ]sciteco-gtk-2.3.0-r0.apk2024-12-29 08:41 1.3M 
[   ]scooper-1.3-r1.apk2024-10-25 20:07 474K 
[   ]scooper-doc-1.3-r1.apk2024-10-25 20:07 2.6K 
[   ]screenkey-1.5-r6.apk2024-10-25 20:07 77K 
[   ]screenkey-doc-1.5-r6.apk2024-10-25 20:07 11K 
[   ]screenkey-pyc-1.5-r6.apk2024-10-25 20:07 73K 
[   ]scrypt-1.3.2-r0.apk2024-10-25 20:07 27K 
[   ]scrypt-doc-1.3.2-r0.apk2024-10-25 20:07 4.3K 
[   ]sct-2018.12.18-r1.apk2024-10-25 20:07 3.5K 
[   ]sdparm-1.12-r1.apk2024-10-25 20:07 143K 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 20:07 19K 
[   ]seaweedfs-3.80-r2.apk2025-02-10 01:33 23M 
[   ]seaweedfs-doc-3.80-r2.apk2025-02-10 01:33 14K 
[   ]seaweedfs-openrc-3.80-r2.apk2025-02-10 01:33 1.8K 
[   ]secsipidx-1.3.2-r9.apk2025-02-10 01:33 2.5M 
[   ]secsipidx-dev-1.3.2-r9.apk2025-02-10 01:33 4.5M 
[   ]secsipidx-libs-1.3.2-r9.apk2025-02-10 01:33 2.2M 
[   ]sedutil-1.15.1-r1.apk2024-10-25 20:07 186K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 20:07 3.1K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-25 20:07 43K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-25 20:07 2.6K 
[   ]sentinel-proxy-2.1.0-r0.apk2024-10-25 20:07 39K 
[   ]sentinel-proxy-dev-2.1.0-r0.apk2024-10-25 20:07 4.4K 
[   ]sentinel-proxy-openrc-2.1.0-r0.apk2024-10-25 20:07 2.3K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:43 7.2K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:43 5.1K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:43 59K 
[   ]serie-0.4.4-r0.apk2025-02-27 18:29 939K 
[   ]serie-doc-0.4.4-r0.apk2025-02-27 18:29 6.5K 
[   ]setroot-2.0.2-r1.apk2024-10-25 20:07 11K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 20:07 4.4K 
[   ]sflowtool-6.02-r0.apk2024-10-25 20:07 44K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 20:07 9.3K 
[   ]sfwbar-1.0_beta16-r1.apk2024-12-12 19:02 265K 
[   ]sfwbar-doc-1.0_beta16-r1.apk2024-12-12 19:02 26K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 20:07 2.7M 
[   ]shc-4.0.3-r2.apk2024-10-25 20:07 19K 
[   ]shellinabox-2.21-r3.apk2024-10-25 20:07 121K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 20:07 19K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 20:07 3.5K 
[   ]shfm-0.4.2-r1.apk2024-10-25 20:07 4.0K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 20:07 6.1K 
[   ]shine-3.1.1-r0.apk2024-10-25 20:07 55K 
[   ]shipments-0.3.0-r0.apk2024-10-25 20:07 23K 
[   ]shntool-3.0.10-r5.apk2025-02-25 10:17 58K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-25 10:17 10K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 20:07 1.8K 
[   ]sigma-0.23.1-r1.apk2024-10-25 20:07 237K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 20:07 340K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 20:07 38K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 20:07 8.0K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 20:07 13K 
[   ]silc-client-1.1.11-r17.apk2024-10-25 20:07 798K 
[   ]silc-client-doc-1.1.11-r17.apk2024-10-25 20:07 83K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:14 2.1M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:14 404K 
[   ]simh-3.11.1-r1.apk2024-10-25 20:07 2.8M 
[   ]simp1e-cursors-0_git20211003-r0.apk2024-10-25 20:07 741K 
[   ]simp1e-cursors-breeze-0_git20211003-r0.apk2024-10-25 20:07 857K 
[   ]simp1e-cursors-dark-0_git20211003-r0.apk2024-10-25 20:07 780K 
[   ]simp1e-cursors-snow-0_git20211003-r0.apk2024-10-25 20:07 828K 
[   ]simp1e-cursors-solarized-0_git20211003-r0.apk2024-10-25 20:07 717K 
[   ]simpleble-0.8.1-r0.apk2025-02-25 22:20 1.2K 
[   ]simpleble-dev-0.8.1-r0.apk2025-02-25 22:20 24K 
[   ]sing-box-1.11.5-r0.apk2025-03-12 12:13 11M 
[   ]sing-box-bash-completion-1.11.5-r0.apk2025-03-12 12:13 5.1K 
[   ]sing-box-fish-completion-1.11.5-r0.apk2025-03-12 12:13 4.3K 
[   ]sing-box-openrc-1.11.5-r0.apk2025-03-12 12:13 2.0K 
[   ]sing-box-zsh-completion-1.11.5-r0.apk2025-03-12 12:13 4.0K 
[   ]sing-geoip-20250312-r0.apk2025-03-12 12:13 2.1M 
[   ]sing-geosite-20250307074130-r0.apk2025-03-12 12:13 1.1M 
[   ]singular-4.4.1-r0.apk2025-02-06 04:42 9.8M 
[   ]singular-dev-4.4.1-r0.apk2025-02-06 04:42 363K 
[   ]singular-doc-4.4.1-r0.apk2025-02-06 04:42 1.3M 
[   ]singular-emacs-4.4.1-r0.apk2025-02-06 04:42 101K 
[   ]singular-static-4.4.1-r0.apk2025-02-06 04:42 5.0M 
[   ]sipexer-1.2.0-r0.apk2025-02-17 12:07 2.5M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 20:07 26K 
[   ]siril-1.2.6-r0.apk2025-02-02 03:03 2.7M 
[   ]siril-doc-1.2.6-r0.apk2025-02-02 03:03 18K 
[   ]siril-lang-1.2.6-r0.apk2025-02-02 03:03 1.6M 
[   ]sish-2.16.1-r2.apk2025-02-10 01:33 7.6M 
[   ]sish-openrc-2.16.1-r2.apk2025-02-10 01:33 1.8K 
[   ]slidge-0.1.3-r0.apk2024-10-25 20:07 145K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 20:07 4.5K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 20:07 30K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 20:07 1.5K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 20:07 38K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 20:07 2.3K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 20:07 285K 
[   ]sloccount-2.26-r3.apk2024-10-25 20:07 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 20:07 59K 
[   ]slurm-0.4.4-r0.apk2024-10-25 20:07 15K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 20:07 2.3K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:56 72K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:56 70K 
[   ]smile-2.9.5-r0.apk2024-10-25 20:07 694K 
[   ]smile-lang-2.9.5-r0.apk2024-10-25 20:07 24K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 11:47 142K 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 11:47 25K 
[   ]snapper-0.12.1-r0.apk2025-02-13 07:30 930K 
[   ]snapper-bash-completion-0.12.1-r0.apk2025-02-13 07:30 3.0K 
[   ]snapper-dev-0.12.1-r0.apk2025-02-13 07:30 10K 
[   ]snapper-doc-0.12.1-r0.apk2025-02-13 07:30 25K 
[   ]snapper-lang-0.12.1-r0.apk2025-02-13 07:30 196K 
[   ]snapper-zsh-completion-0.12.1-r0.apk2025-02-13 07:30 3.5K 
[   ]snapraid-12.3-r0.apk2024-10-25 20:07 265K 
[   ]snapraid-doc-12.3-r0.apk2024-10-25 20:07 17K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 20:07 37K 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 20:07 361K 
[   ]snippets-ls-0.0.4_git20240617-r2.apk2025-02-10 01:33 1.3M 
[   ]snore-0.3.1-r0.apk2024-10-25 20:07 4.9K 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 20:07 3.0K 
[   ]so-0.4.10-r0.apk2024-10-25 20:07 2.1M 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:11 49K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 20:07 27K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 20:07 186K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 20:07 2.3K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 20:07 1.7K 
[   ]solanum-5.0.0-r0.apk2024-10-25 20:07 256K 
[   ]solanum-lang-5.0.0-r0.apk2024-10-25 20:07 40K 
[   ]solarus-engine-1.7.0-r1.apk2025-02-20 18:49 1.9M 
[   ]solarus-engine-doc-1.7.0-r1.apk2025-02-20 18:49 3.3K 
[   ]somebar-1.0.3-r0.apk2024-10-25 20:07 34K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 20:07 2.4K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 20:07 48K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 20:07 15K 
[   ]soqt-1.6.3-r0.apk2024-12-13 20:44 215K 
[   ]soqt-dev-1.6.3-r0.apk2024-12-13 20:44 84K 
[   ]soqt-doc-1.6.3-r0.apk2024-12-13 20:44 881K 
[   ]sos-0.8-r28.apk2025-02-10 01:33 2.5M 
[   ]soundconverter-4.0.6-r0.apk2024-11-12 15:33 165K 
[   ]soundconverter-doc-4.0.6-r0.apk2024-11-12 15:33 4.5K 
[   ]soundconverter-lang-4.0.6-r0.apk2024-11-12 15:33 107K 
[   ]soundconverter-pyc-4.0.6-r0.apk2024-11-12 15:33 75K 
[   ]soundfont-vintage-dreams-waves-2.1-r2.apk2024-10-25 20:07 91K 
[   ]soundfont-vintage-dreams-waves-doc-2.1-r2.apk2024-10-25 20:07 2.2K 
[   ]spacectl-1.9.0-r0.apk2025-03-02 15:39 5.2M 
[   ]spacectl-bash-completion-1.9.0-r0.apk2025-03-02 15:39 2.0K 
[   ]spacectl-doc-1.9.0-r0.apk2025-03-02 15:39 2.2K 
[   ]spacectl-fish-completion-1.9.0-r0.apk2025-03-02 15:39 6.7K 
[   ]spacectl-zsh-completion-1.9.0-r0.apk2025-03-02 15:39 1.8K 
[   ]spacer-0.3.8-r0.apk2025-02-28 06:10 1.0M 
[   ]spacer-doc-0.3.8-r0.apk2025-02-28 06:10 3.0K 
[   ]spampd-2.62-r0.apk2025-02-25 22:25 39K 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:25 2.0K 
[   ]spark-2.8.3-r1.apk2024-10-25 20:07 29M 
[   ]speedcrunch-0.12-r3.apk2024-10-25 20:07 1.1M 
[   ]speedtest-5.2.5-r1.apk2024-10-25 20:07 253K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 20:07 18K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 20:07 13K 
[   ]speedtest-go-1.1.5-r12.apk2025-02-10 01:33 5.1M 
[   ]speedtest-go-doc-1.1.5-r12.apk2025-02-10 01:33 4.4K 
[   ]speedtest-go-openrc-1.1.5-r12.apk2025-02-10 01:33 1.7K 
[   ]speedtest_exporter-0.3.2-r12.apk2025-02-10 01:33 3.5M 
[   ]speedtest_exporter-openrc-0.3.2-r12.apk2025-02-10 01:33 1.8K 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 20:07 438K 
[   ]spike-1.1.0-r0.apk2024-10-25 20:07 1.0M 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:09 49K 
[   ]spnavcfg-1.1-r0.apk2024-10-25 20:07 35K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 20:07 1.9M 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 20:07 42K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 20:07 194K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 20:07 341K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 20:07 4.6K 
[   ]spvm-errno-0.093-r0.apk2025-01-25 07:04 15K 
[   ]spvm-errno-doc-0.093-r0.apk2025-01-25 07:04 5.9K 
[   ]spvm-math-1.006-r0.apk2025-02-04 07:32 18K 
[   ]spvm-math-doc-1.006-r0.apk2025-02-04 07:32 6.8K 
[   ]spvm-mime-base64-1.003-r0.apk2025-02-04 07:32 13K 
[   ]spvm-mime-base64-doc-1.003-r0.apk2025-02-04 07:32 5.4K 
[   ]spvm-thread-0.003-r0.apk2025-02-04 07:32 11K 
[   ]spvm-thread-doc-0.003-r0.apk2025-02-04 07:32 5.8K 
[   ]sqawk-0.24.0-r0.apk2024-10-25 20:07 14K 
[   ]sqawk-doc-0.24.0-r0.apk2024-10-25 20:07 113K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 20:07 15K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 20:07 3.3K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 20:07 89K 
[   ]sqlmap-1.9.2-r0.apk2025-02-26 06:27 6.8M 
[   ]sqlmap-pyc-1.9.2-r0.apk2025-02-26 06:27 1.2M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 20:07 20K 
[   ]srain-1.8.0-r0.apk2024-11-19 22:34 152K 
[   ]srain-lang-1.8.0-r0.apk2024-11-19 22:34 35K 
[   ]sregex-0.0.1-r1.apk2024-10-25 20:07 19K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 20:07 24K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 20:07 109K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 20:07 18K 
[   ]ssh-cert-authority-2.0.0-r23.apk2025-02-10 01:33 4.5M 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 20:07 8.3K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 20:07 2.1K 
[   ]ssh-tools-1.8-r0.apk2024-10-25 20:07 26K 
[   ]sshs-4.6.1-r0.apk2025-01-25 07:04 729K 
[   ]sshsrv-1.0-r9.apk2025-02-10 01:33 945K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 20:07 62K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 20:07 8.5K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 20:07 101K 
[   ]ssss-0.5.7-r0.apk2024-10-25 20:07 12K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 20:07 3.3K 
[   ]sstp-client-1.0.20-r1.apk2024-12-01 15:37 40K 
[   ]sstp-client-doc-1.0.20-r1.apk2024-12-01 15:37 4.8K 
[   ]stardict-3.0.6-r6.apk2024-10-25 20:07 923K 
[   ]stardict-doc-3.0.6-r6.apk2024-10-25 20:07 2.2K 
[   ]stardict-help-3.0.6-r6.apk2024-10-25 20:07 3.4M 
[   ]stardict-lang-3.0.6-r6.apk2024-10-25 20:07 290K 
[   ]starfighter-2.4-r0.apk2024-10-25 20:07 48M 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 20:07 22K 
[   ]startup-2.0.3-r5.apk2024-10-25 20:07 520K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 20:07 38K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 20:07 37K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 20:07 5.8K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 20:07 48K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 20:07 5.4K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 20:07 17K 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 20:07 13K 
[   ]stayrtr-0.6.2-r0.apk2025-03-05 20:42 10M 
[   ]stayrtr-openrc-0.6.2-r0.apk2025-03-05 20:42 1.9K 
[   ]steamguard-cli-0.9.6-r0.apk2024-10-25 20:07 2.1M 
[   ]steamguard-cli-bash-completion-0.9.6-r0.apk2024-10-25 20:07 2.5K 
[   ]steamguard-cli-zsh-completion-0.9.6-r0.apk2024-10-25 20:07 3.5K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 20:07 135K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 20:07 14K 
[   ]stern-1.32.0-r1.apk2025-02-10 01:33 17M 
[   ]stern-bash-completion-1.32.0-r1.apk2025-02-10 01:33 5.8K 
[   ]stern-fish-completion-1.32.0-r1.apk2025-02-10 01:33 4.3K 
[   ]stern-zsh-completion-1.32.0-r1.apk2025-02-10 01:33 4.0K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 20:07 63K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 20:07 18K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 20:07 2.0K 
[   ]stockfish-17-r0.apk2025-03-03 22:42 62M 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 20:07 31M 
[   ]stw-0.3-r0.apk2024-10-25 20:07 7.3K 
[   ]stw-doc-0.3-r0.apk2024-10-25 20:07 2.5K 
[   ]subdl-0_git20230616-r1.apk2024-10-25 20:07 8.7K 
[   ]subdl-pyc-0_git20230616-r1.apk2024-10-25 20:07 14K 
[   ]sublime-music-0.12.0-r1.apk2024-10-25 20:07 190K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-25 20:07 302K 
[   ]subliminal-2.2.1-r0.apk2024-10-25 20:07 68K 
[   ]subliminal-pyc-2.2.1-r0.apk2024-10-25 20:07 135K 
[   ]sudo-ldap-1.9.14-r1.apk2024-10-25 20:07 767K 
[   ]supercollider-3.13.0-r6.apk2025-02-08 23:43 7.4M 
[   ]supercollider-dev-3.13.0-r6.apk2025-02-08 23:43 39K 
[   ]supermin-5.2.2-r2.apk2024-10-25 20:07 505K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 20:07 9.4K 
[   ]surf-2.1-r3.apk2024-10-25 20:07 21K 
[   ]surf-doc-2.1-r3.apk2024-10-25 20:07 4.6K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 20:07 79K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 20:07 18K 
[   ]suru-icon-theme-2024.10.13-r0.apk2025-01-26 01:33 2.9M 
[   ]svgbob-0.7.6-r0.apk2025-02-01 19:55 472K 
[   ]svls-0.2.12-r0.apk2024-10-25 20:07 3.5M 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 20:07 2.2K 
[   ]swaks-20240103.0-r0.apk2024-10-25 20:07 66K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 20:07 50K 
[   ]swappy-1.5.1-r0.apk2024-10-25 20:07 27K 
[   ]swappy-doc-1.5.1-r0.apk2024-10-25 20:07 3.7K 
[   ]swappy-lang-1.5.1-r0.apk2024-10-25 20:07 3.6K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 20:07 9.4K 
[   ]swayhide-0.2.1-r2.apk2024-10-25 20:07 259K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 20:07 1.1M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 20:07 6.2K 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:08 4.6M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:08 2.1M 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:08 22K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:08 922K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:08 1.0M 
[   ]swig3-3.0.12-r3.apk2024-10-25 20:07 1.3M 
[   ]swig3-doc-3.0.12-r3.apk2024-10-25 20:07 3.6K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 20:07 8.6K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 20:07 2.6K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 20:07 1.4M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 20:07 84K 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 20:07 1.8M 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 20:07 6.3M 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 20:07 5.2K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 20:07 7.8K 
[   ]symbiyosys-0.36-r0.apk2024-10-25 20:07 38K 
[   ]symengine-0.12.0-r0.apk2024-10-25 20:07 3.5M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 20:07 1.1M 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 20:07 1.0M 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 20:07 1.8K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 20:07 440K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 20:07 2.2K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 20:07 221K 
[   ]t2sz-1.1.2-r0.apk2024-10-25 20:07 8.4K 
[   ]tabby-3.1-r1.apk2024-10-25 20:07 27K 
[   ]tabby-doc-3.1-r1.apk2024-10-25 20:07 2.2K 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 20:07 88K 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 20:07 1.9M 
[   ]tailspin-3.0.0-r0.apk2024-10-25 20:07 1.2M 
[   ]tailspin-bash-completion-3.0.0-r0.apk2024-10-25 20:07 2.2K 
[   ]tailspin-doc-3.0.0-r0.apk2024-10-25 20:07 2.7K 
[   ]tailspin-fish-completion-3.0.0-r0.apk2024-10-25 20:07 2.0K 
[   ]tailspin-zsh-completion-3.0.0-r0.apk2024-10-25 20:07 2.4K 
[   ]tang-15-r0.apk2025-01-25 07:04 15K 
[   ]tang-dbg-15-r0.apk2025-01-25 07:04 32K 
[   ]tang-doc-15-r0.apk2025-01-25 07:04 21K 
[   ]tang-openrc-15-r0.apk2025-01-25 07:04 1.9K 
[   ]tangara-companion-0.4.3-r0.apk2024-12-17 21:07 1.1M 
[   ]tangctl-0_git20241007-r1.apk2025-02-10 01:33 2.4M 
[   ]tanidvr-1.4.1-r1.apk2024-10-25 20:07 22K 
[   ]tanidvr-dhav2mkv-1.4.1-r1.apk2024-10-25 20:07 13K 
[   ]tartube-2.5.0-r1.apk2024-10-25 20:07 2.7M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 20:07 1.1M 
[   ]taskcafe-0.3.6-r10.apk2025-02-10 01:33 13M 
[   ]taskcafe-openrc-0.3.6-r10.apk2025-02-10 01:33 1.8K 
[   ]tayga-0.9.2-r0.apk2024-10-25 20:07 22K 
[   ]tayga-doc-0.9.2-r0.apk2024-10-25 20:07 5.6K 
[   ]tcc-0.9.27_git20250106-r0.apk2025-02-02 03:02 10K 
[   ]tcc-dev-0.9.27_git20250106-r0.apk2025-02-02 03:02 44K 
[   ]tcc-doc-0.9.27_git20250106-r0.apk2025-02-02 03:02 50K 
[   ]tcc-libs-0.9.27_git20250106-r0.apk2025-02-02 03:02 140K 
[   ]tcc-libs-static-0.9.27_git20250106-r0.apk2025-02-02 03:02 11K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 20:07 29K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 20:07 38K 
[   ]tcl9-9.0.1-r0.apk2024-12-22 06:12 1.8M 
[   ]tcl9-dev-9.0.1-r0.apk2024-12-22 06:12 183K 
[   ]tcl9-doc-9.0.1-r0.apk2024-12-22 06:12 1.4M 
[   ]tdrop-0.5.0-r0.apk2024-10-25 20:07 12K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 20:07 8.9K 
[   ]tealdeer-1.7.1-r0.apk2024-12-14 18:12 899K 
[   ]tealdeer-bash-completion-1.7.1-r0.apk2024-12-14 18:12 2.0K 
[   ]tealdeer-fish-completion-1.7.1-r0.apk2024-12-14 18:12 2.2K 
[   ]tealdeer-zsh-completion-1.7.1-r0.apk2024-12-14 18:12 2.3K 
[   ]telegram-bot-api-8.3-r0.apk2025-02-18 22:39 6.8M 
[   ]telegram-tdlib-1.8.45-r0.apk2025-02-18 22:24 6.8M 
[   ]telegram-tdlib-dev-1.8.45-r0.apk2025-02-18 22:24 178K 
[   ]telegram-tdlib-static-1.8.45-r0.apk2025-02-18 22:24 19M 
[   ]templ-0.3.833-r1.apk2025-02-10 01:33 4.4M 
[   ]tenv-4.2.4-r0.apk2025-03-04 20:57 9.2M 
[   ]tenv-bash-completion-4.2.4-r0.apk2025-03-04 20:57 5.0K 
[   ]tenv-fish-completion-4.2.4-r0.apk2025-03-04 20:57 4.3K 
[   ]tenv-zsh-completion-4.2.4-r0.apk2025-03-04 20:57 4.0K 
[   ]tere-1.6.0-r0.apk2024-10-25 20:07 1.0M 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 20:07 14K 
[   ]termbox-1.1.2-r1.apk2024-10-25 20:07 11K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 20:07 5.7K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 20:07 12K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 20:07 1.5K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 20:07 6.8K 
[   ]termusic-mpv-0.7.11-r0.apk2024-10-25 20:07 6.2M 
[   ]tfupdate-0.8.2-r3.apk2025-02-10 01:33 4.5M 
[   ]tfupdate-doc-0.8.2-r3.apk2025-02-10 01:33 2.2K 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 20:07 6.8M 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 20:07 6.3M 
[   ]thefuck-3.32-r5.apk2024-10-25 20:07 83K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 20:07 156K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 20:07 28M 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 20:07 2.3K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 20:07 2.0K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 20:07 39K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 20:07 2.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:22 15K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:22 1.6K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:22 28K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 20:07 11K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-25 20:07 22K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 20:07 9.4K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 20:07 25K 
[   ]tick-1.2.2-r0.apk2025-01-14 01:26 11K 
[   ]tick-doc-1.2.2-r0.apk2025-01-14 01:26 5.5K 
[   ]ticker-4.8.0-r1.apk2025-02-10 01:33 3.7M 
[   ]ticker-bash-completion-4.8.0-r1.apk2025-02-10 01:33 4.5K 
[   ]ticker-fish-completion-4.8.0-r1.apk2025-02-10 01:33 3.8K 
[   ]ticker-zsh-completion-4.8.0-r1.apk2025-02-10 01:33 3.7K 
[   ]timeshift-24.06.5-r0.apk2024-12-05 20:47 466K 
[   ]timeshift-doc-24.06.5-r0.apk2024-12-05 20:47 3.1K 
[   ]timeshift-lang-24.06.5-r0.apk2024-12-05 20:47 917K 
[   ]timew-1.4.3-r1.apk2024-10-25 20:07 248K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 20:07 2.8K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 20:07 53K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 20:07 262K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 20:07 22K 
[   ]tintin-2.02.31-r0.apk2024-10-25 20:07 1.8M 
[   ]tiny-0.13.0-r0.apk2025-01-01 18:10 584K 
[   ]tiny-doc-0.13.0-r0.apk2025-01-01 18:10 5.5K 
[   ]tinycbor-0.6.0-r1.apk2024-10-25 20:07 16K 
[   ]tinycbor-dev-0.6.0-r1.apk2024-10-25 20:07 8.4K 
[   ]tinygltf-2.9.4-r0.apk2025-01-25 07:04 139K 
[   ]tinygltf-dev-2.9.4-r0.apk2025-01-25 07:04 57K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 20:07 52K 
[   ]tk9-9.0.1-r0.apk2024-12-22 06:12 828K 
[   ]tk9-dev-9.0.1-r0.apk2024-12-22 06:12 81K 
[   ]tk9-doc-9.0.1-r0.apk2024-12-22 06:12 1.3M 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.5K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tmate-2.4.0-r4.apk2024-10-25 20:07 236K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 20:07 72K 
[   ]tmpl-0.4.0-r8.apk2025-02-10 01:33 2.4M 
[   ]tmpl-doc-0.4.0-r8.apk2025-02-10 01:33 2.2K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 20:07 7.0K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 20:07 3.2K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 20:07 14K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 20:07 8.4K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 20:07 22K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 20:07 3.9K 
[   ]tnef-1.4.18-r0.apk2024-10-25 20:07 25K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 20:07 4.2K 
[   ]toapk-1.0-r0.apk2024-10-25 20:07 10K 
[   ]today-6.2.0-r0.apk2024-10-25 20:07 3.1K 
[   ]today-doc-6.2.0-r0.apk2024-10-25 20:07 3.3K 
[   ]tofutf-0.10.0-r2.apk2025-02-10 01:33 1.2K 
[   ]tofutf-agent-0.10.0-r2.apk2025-02-10 01:33 8.6M 
[   ]tofutf-agent-openrc-0.10.0-r2.apk2025-02-10 01:33 1.9K 
[   ]tofutf-cli-0.10.0-r2.apk2025-02-10 01:33 7.9M 
[   ]tofutf-server-0.10.0-r2.apk2025-02-10 01:33 13M 
[   ]tofutf-server-openrc-0.10.0-r2.apk2025-02-10 01:33 1.9K 
[   ]toml2json-1.3.1-r0.apk2024-10-25 20:07 369K 
[   ]toml2json-doc-1.3.1-r0.apk2024-10-25 20:07 3.3K 
[   ]tonutils-reverse-proxy-0.3.3-r2.apk2025-02-10 01:33 2.7M 
[   ]tonutils-reverse-proxy-doc-0.3.3-r2.apk2025-02-10 01:33 2.3K 
[   ]tootik-0.15.3-r0.apk2025-03-09 05:42 4.0M 
[   ]tootik-openrc-0.15.3-r0.apk2025-03-09 05:42 3.1K 
[   ]topgit-0.19.13-r1.apk2024-10-25 20:07 127K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 20:07 4.0K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 20:07 73K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 20:07 360K 
[   ]toss-1.1-r0.apk2024-10-25 20:07 11K 
[   ]toybox-0.8.11-r1.apk2024-10-25 20:07 293K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 20:07 132K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 20:07 1.9K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 20:07 69K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-25 07:04 164K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-25 07:04 3.3K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-25 07:04 509K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-25 07:04 171K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 20:07 138K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 20:07 4.2K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 20:07 106K 
[   ]trantor-1.5.18-r0.apk2024-10-25 20:07 211K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 20:07 34K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 20:07 2.6K 
[   ]tre-0.8.0-r2.apk2024-10-25 20:07 24K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 20:07 5.2K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 20:07 25K 
[   ]tree-sitter-c-sharp-0.23.1-r1.apk2025-02-26 17:29 346K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 20:07 84K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 20:07 2.3K 
[   ]tree-sitter-clojure-0.0.12-r0.apk2024-10-25 20:07 22K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-10 22:54 99K 
[   ]tree-sitter-git-commit-0_git20211225-r3.apk2025-02-26 17:29 14K 
[   ]tree-sitter-git-diff-0_git20230730-r0.apk2024-10-25 20:07 9.7K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-10 22:54 5.0K 
[   ]tree-sitter-gleam-1.0.0-r0.apk2024-10-25 20:07 44K 
[   ]tree-sitter-hare-0_git20230616-r1.apk2024-10-25 20:07 35K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 15:53 284K 
[   ]tree-sitter-hcl-1.1.0-r1.apk2024-10-25 20:07 45K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 20:07 13K 
[   ]tree-sitter-kotlin-0.3.8-r0.apk2024-10-25 20:07 325K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 20:07 41K 
[   ]tree-sitter-nix-0.0.2-r0.apk2025-02-02 03:09 21K 
[   ]tree-sitter-nix-doc-0.0.2-r0.apk2025-02-02 03:09 2.2K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 20:07 83K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 20:07 2.3K 
[   ]tree-sitter-ron-0.2.0-r0.apk2024-10-25 20:07 30K 
[   ]tree-sitter-scheme-0.23.0-r0.apk2024-10-25 20:07 26K 
[   ]tree-sitter-scheme-doc-0.23.0-r0.apk2024-10-25 20:07 2.2K 
[   ]tree-sitter-ssh-client-config-2025.3.6-r0.apk2025-03-08 13:11 86K 
[   ]tree-sitter-xml-0.7.0-r0.apk2024-11-14 13:10 30K 
[   ]tree-sitter-xml-doc-0.7.0-r0.apk2024-11-14 13:10 2.2K 
[   ]tremc-0.9.3-r0.apk2024-10-25 20:07 48K 
[   ]tremc-bash-completion-0.9.3-r0.apk2024-10-25 20:07 1.7K 
[   ]tremc-doc-0.9.3-r0.apk2024-10-25 20:07 2.6K 
[   ]tremc-zsh-completion-0.9.3-r0.apk2024-10-25 20:07 1.6K 
[   ]trigger-rally-0.6.7-r2.apk2024-10-25 20:07 295K 
[   ]trigger-rally-data-0.6.7-r2.apk2024-10-25 20:07 352M 
[   ]trigger-rally-doc-0.6.7-r2.apk2024-10-25 20:07 28K 
[   ]trippy-0.12.2-r0.apk2025-01-04 22:29 2.0M 
[   ]trippy-bash-completion-0.12.2-r0.apk2025-01-04 22:29 3.1K 
[   ]trippy-zsh-completion-0.12.2-r0.apk2025-01-04 22:29 4.7K 
[   ]trivy-0.60.0-r0.apk2025-03-06 22:31 62M 
[   ]tsung-1.8.0-r2.apk2024-10-25 20:07 730K 
[   ]ttdl-4.10.0-r0.apk2025-03-11 20:09 967K 
[   ]ttdl-doc-4.10.0-r0.apk2025-03-11 20:09 37K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 20:07 26K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 20:07 152K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 20:07 8.1K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 20:07 55K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 20:07 104K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 20:07 8.4K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 20:07 3.1K 
[   ]tty-proxy-0.0.2-r25.apk2025-02-10 01:33 2.3M 
[   ]tty-share-2.4.0-r15.apk2025-02-10 01:33 3.4M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:45 610K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 20:07 1.9M 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 20:07 6.9K 
[   ]tuned-2.25.1-r0.apk2025-03-02 15:42 159K 
[   ]tuned-bash-completion-2.25.1-r0.apk2025-03-02 15:42 1.9K 
[   ]tuned-doc-2.25.1-r0.apk2025-03-02 15:42 79K 
[   ]tuned-gtk-2.25.1-r0.apk2025-03-02 15:42 22K 
[   ]tuned-openrc-2.25.1-r0.apk2025-03-02 15:42 1.6K 
[   ]tuned-ppd-2.25.1-r0.apk2025-03-02 15:42 3.6K 
[   ]tuned-ppd-openrc-2.25.1-r0.apk2025-03-02 15:42 1.7K 
[   ]tuned-profiles-2.25.1-r0.apk2025-03-02 15:42 8.1K 
[   ]tuned-profiles-compat-2.25.1-r0.apk2025-03-02 15:42 3.5K 
[   ]tuned-pyc-2.25.1-r0.apk2025-03-02 15:42 333K 
[   ]tuned-utils-2.25.1-r0.apk2025-03-02 15:42 10K 
[   ]tup-0.7.11-r0.apk2024-10-25 20:07 229K 
[   ]tup-doc-0.7.11-r0.apk2024-10-25 20:07 21K 
[   ]tup-vim-0.7.11-r0.apk2024-10-25 20:07 2.6K 
[   ]tuptime-5.2.4-r1.apk2024-11-28 22:44 14K 
[   ]tuptime-doc-5.2.4-r1.apk2024-11-28 22:44 3.7K 
[   ]tuptime-openrc-5.2.4-r1.apk2024-11-28 22:44 1.7K 
[   ]turn-rs-3.3.4-r0.apk2025-03-10 21:28 578K 
[   ]turn-rs-doc-3.3.4-r0.apk2025-03-10 21:28 11K 
[   ]turn-rs-openrc-3.3.4-r0.apk2025-03-10 21:28 1.9K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 20:07 38K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 20:07 5.7K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 20:07 1.8K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 20:07 61K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 20:07 17K 
[   ]twiggy-0.6.0-r3.apk2024-10-25 20:07 785K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.5K 
[   ]typos-1.23.2-r0.apk2024-10-25 20:07 2.8M 
[   ]typos-doc-1.23.2-r0.apk2024-10-25 20:07 6.1K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 13:45 529K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 20:07 93K 
[   ]uasm-2.56.2-r0.apk2024-10-25 20:07 287K 
[   ]ubase-20200605-r3.apk2024-10-25 20:07 46K 
[   ]ubase-doc-20200605-r3.apk2024-10-25 20:07 21K 
[   ]uclient-20210514-r0.apk2024-10-25 20:07 11K 
[   ]uclient-dev-20210514-r0.apk2024-10-25 20:07 3.2K 
[   ]uclient-fetch-20210514-r0.apk2024-10-25 20:07 10K 
[   ]udpt-3.1.2-r0.apk2024-10-25 20:07 717K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 20:07 1.8K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]undock-0.9.0-r2.apk2025-02-10 01:33 9.5M 
[   ]unit-php81-1.34.2-r0.apk2025-03-04 22:38 30K 
[   ]up-0.4-r26.apk2025-02-10 01:33 1.1M 
[   ]upterm-0.14.3-r2.apk2025-02-10 01:33 5.6M 
[   ]upterm-bash-completion-0.14.3-r2.apk2025-02-10 01:33 5.5K 
[   ]upterm-doc-0.14.3-r2.apk2025-02-10 01:33 6.3K 
[   ]upterm-server-0.14.3-r2.apk2025-02-10 01:33 5.4M 
[   ]upterm-server-openrc-0.14.3-r2.apk2025-02-10 01:33 1.8K 
[   ]upterm-zsh-completion-0.14.3-r2.apk2025-02-10 01:33 4.0K 
[   ]urlwatch-2.28-r2.apk2024-10-25 20:07 49K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-25 20:07 33K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-25 20:07 101K 
[   ]usbmuxd-1.1.1-r8.apk2024-10-30 22:44 34K 
[   ]usbmuxd-doc-1.1.1-r8.apk2024-10-30 22:44 2.9K 
[   ]ustr-1.0.4-r1.apk2024-10-25 20:07 48K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 20:07 65K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 20:07 91K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 20:07 97K 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 20:07 132K 
[   ]ustream-ssl-20220116-r1.apk2024-10-25 20:07 5.8K 
[   ]ustream-ssl-dev-20220116-r1.apk2024-10-25 20:07 2.6K 
[   ]utop-2.9.1-r4.apk2024-10-25 20:07 13M 
[   ]utop-common-2.9.1-r4.apk2024-10-25 20:07 2.0K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 20:07 5.7K 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 20:07 13K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 20:07 13M 
[   ]uucp-1.07-r6.apk2024-10-25 20:07 468K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 20:07 118K 
[   ]uxn-1.0-r0.apk2024-10-25 20:07 42K 
[   ]uxn-doc-1.0-r0.apk2024-10-25 20:07 4.2K 
[   ]uxplay-1.71.1-r0.apk2025-01-25 16:16 217K 
[   ]uxplay-doc-1.71.1-r0.apk2025-01-25 16:16 4.3K 
[   ]vals-0.39.0-r1.apk2025-02-10 01:33 27M 
[   ]varnish-modules-0.24.0-r0.apk2024-10-25 20:07 41K 
[   ]varnish-modules-doc-0.24.0-r0.apk2024-10-25 20:07 21K 
[   ]vbindiff-3.0_beta5-r1.apk2024-10-25 20:07 19K 
[   ]vbindiff-doc-3.0_beta5-r1.apk2024-10-25 20:07 5.5K 
[   ]vcdimager-2.0.1-r5.apk2025-01-25 07:04 481K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-25 07:04 118K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-25 07:04 74K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 20:07 8.8K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 20:07 2.9K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 20:07 27K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 20:07 2.9K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 20:07 35K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 20:07 1.8K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 20:07 58K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 20:07 1.6K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 20:07 1.7K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 20:07 281K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 20:07 2.3K 
[   ]venc-3.2.5-r0.apk2025-02-04 21:47 248K 
[   ]venc-pyc-3.2.5-r0.apk2025-02-04 21:47 127K 
[   ]vera++-1.3.0-r10.apk2024-10-25 20:07 245K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 20:07 25K 
[   ]vice-3.9-r0.apk2025-02-25 10:17 13M 
[   ]vice-doc-3.9-r0.apk2025-02-25 10:17 2.2M 
[   ]video-trimmer-0.9.0-r0.apk2024-10-25 20:07 812K 
[   ]video-trimmer-lang-0.9.0-r0.apk2024-10-25 20:07 91K 
[   ]viewnior-1.8-r1.apk2024-10-25 20:07 70K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 20:07 2.1K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 20:07 85K 
[   ]vile-9.8z-r0.apk2024-10-25 20:07 1.8M 
[   ]vile-doc-9.8z-r0.apk2024-10-25 20:07 15K 
[   ]vim-airline-0.11-r0.apk2024-10-25 20:07 86K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 20:07 12K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:00 67K 
[   ]vim-rust-305-r0.apk2024-10-25 20:07 20K 
[   ]virtctl-1.4.0-r2.apk2025-02-10 01:33 15M 
[   ]virtctl-bash-completion-1.4.0-r2.apk2025-02-10 01:33 5.1K 
[   ]virtctl-fish-completion-1.4.0-r2.apk2025-02-10 01:33 4.3K 
[   ]virtctl-zsh-completion-1.4.0-r2.apk2025-02-10 01:33 4.0K 
[   ]virter-0.28.1-r2.apk2025-02-10 01:33 5.4M 
[   ]virter-bash-completion-0.28.1-r2.apk2025-02-10 01:33 5.0K 
[   ]virter-doc-0.28.1-r2.apk2025-02-10 01:33 15K 
[   ]virter-fish-completion-0.28.1-r2.apk2025-02-10 01:33 4.3K 
[   ]virter-zsh-completion-0.28.1-r2.apk2025-02-10 01:33 4.0K 
[   ]virtme-ng-1.32-r1.apk2025-01-25 15:54 195K 
[   ]virtme-ng-bash-completion-1.32-r1.apk2025-01-25 15:54 2.6K 
[   ]visidata-2.11.1-r2.apk2024-10-25 20:07 248K 
[   ]visidata-doc-2.11.1-r2.apk2024-10-25 20:07 16K 
[   ]visidata-pyc-2.11.1-r2.apk2024-10-25 20:07 497K 
[   ]visidata-zsh-completion-2.11.1-r2.apk2024-10-25 20:07 7.2K 
[   ]vit-2.3.2-r1.apk2024-10-25 20:07 80K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 20:07 151K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 20:07 367K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 20:07 3.1K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 20:07 13K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 20:07 8.0K 
[   ]voikko-fi-2.5-r0.apk2024-10-25 20:07 1.6M 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 20:07 41K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 20:07 3.8K 
[   ]vym-2.9.26-r0.apk2024-10-25 20:07 2.8M 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 20:07 3.4M 
[   ]w_scan2-1.0.16-r0.apk2025-02-17 12:07 142K 
[   ]w_scan2-doc-1.0.16-r0.apk2025-02-17 12:07 4.1K 
[   ]wabt-1.0.36-r0.apk2024-10-25 20:07 3.7M 
[   ]wabt-doc-1.0.36-r0.apk2024-10-25 20:07 14K 
[   ]waifu2x-converter-cpp-5.3.4-r8.apk2025-01-16 22:05 12M 
[   ]wakeonlan-0.42-r0.apk2024-10-25 20:07 4.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 20:07 7.5K 
[   ]walk-1.13.0-r0.apk2025-03-02 16:56 3.0M 
[   ]walk-doc-1.13.0-r0.apk2025-03-02 16:56 2.2K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 20:07 5.2K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 20:07 7.8K 
[   ]wamrc-2.2.0-r0.apk2024-12-05 15:48 449K 
[   ]warpinator-1.8.8-r0.apk2025-02-05 22:11 216K 
[   ]warpinator-lang-1.8.8-r0.apk2025-02-05 22:11 222K 
[   ]warpinator-nemo-1.8.8-r0.apk2025-02-05 22:11 4.1K 
[   ]wasm-micro-runtime-2.2.0-r0.apk2024-12-05 15:48 1.2K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 20:07 1.1M 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 20:07 6.6K 
[   ]watchdog-5.16-r2.apk2024-10-25 20:07 42K 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 20:07 14K 
[   ]watchmate-0.5.2-r0.apk2024-10-25 20:07 2.3M 
[   ]watershot-0.2.0-r0.apk2024-10-25 20:07 1.7M 
[   ]way-displays-1.8.1-r2.apk2024-10-25 20:07 70K 
[   ]way-displays-doc-1.8.1-r2.apk2024-10-25 20:07 4.1K 
[   ]waycheck-1.6.0-r0.apk2025-02-23 22:14 42K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:17 2.4M 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:17 130K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:17 3.6K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:17 526K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 20:07 302K 
[   ]waynergy-0.0.17-r0.apk2024-10-25 20:07 46K 
[   ]wbg-1.2.0-r0.apk2024-10-25 20:07 36K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 20:07 10K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 20:07 2.7K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 20:07 1.6K 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:17 353K 
[   ]webhookd-1.20.1-r1.apk2025-02-10 01:33 3.0M 
[   ]webhookd-doc-1.20.1-r1.apk2025-02-10 01:33 2.2K 
[   ]webhookd-openrc-1.20.1-r1.apk2025-02-10 01:33 2.2K 
[   ]weggli-0.2.4-r1.apk2024-10-25 20:07 826K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:17 103K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:17 16K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:17 6.1M 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:17 1.7K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:17 3.1K 
[   ]wgcf-2.2.24-r2.apk2025-02-10 01:33 3.7M 
[   ]wgcf-bash-completion-2.2.24-r2.apk2025-02-10 01:33 5.0K 
[   ]wgcf-fish-completion-2.2.24-r2.apk2025-02-10 01:33 4.3K 
[   ]wgcf-zsh-completion-2.2.24-r2.apk2025-02-10 01:33 4.0K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 20:07 1.5M 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 20:07 4.6K 
[   ]wireguard-go-0.0.20230223-r9.apk2025-02-10 01:33 1.1M 
[   ]wireguard-go-doc-0.0.20230223-r9.apk2025-02-10 01:33 3.5K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 20:07 22K 
[   ]wiringx-0_git20240317-r2.apk2025-03-03 16:08 48K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-03 16:08 67K 
[   ]witchery-0.0.3-r2.apk2024-10-25 20:07 3.2K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 20:07 172K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 20:07 2.1K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 20:07 3.4K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 20:07 2.9K 
[   ]wl-gammarelay-0.1.1-r11.apk2025-02-10 01:33 1.5M 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 20:07 11K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 20:07 15K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 20:07 3.3K 
[   ]wlopm-0.1.0-r0.apk2024-10-25 20:07 6.1K 
[   ]wlopm-doc-0.1.0-r0.apk2024-10-25 20:07 2.0K 
[   ]wlroots0.12-0.12.0-r1.apk2024-10-25 20:07 209K 
[   ]wlroots0.12-dbg-0.12.0-r1.apk2024-10-25 20:07 1.0M 
[   ]wlroots0.12-dev-0.12.0-r1.apk2024-10-25 20:07 61K 
[   ]wlroots0.15-0.15.1-r6.apk2024-10-25 20:07 264K 
[   ]wlroots0.15-dbg-0.15.1-r6.apk2024-10-25 20:07 1.2M 
[   ]wlroots0.15-dev-0.15.1-r6.apk2024-10-25 20:07 70K 
[   ]wlroots0.16-0.16.2-r0.apk2024-10-28 13:47 317K 
[   ]wlroots0.16-dbg-0.16.2-r0.apk2024-10-28 13:47 1.3M 
[   ]wlroots0.16-dev-0.16.2-r0.apk2024-10-28 13:47 71K 
[   ]wlroots0.17-0.17.4-r1.apk2024-11-23 09:00 350K 
[   ]wlroots0.17-dbg-0.17.4-r1.apk2024-11-23 09:00 1.5M 
[   ]wlroots0.17-dev-0.17.4-r1.apk2024-11-23 09:00 77K 
[   ]wmctrl-1.07-r1.apk2024-10-25 20:07 14K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 20:07 5.1K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 20:07 2.3M 
[   ]wok-3.0.0-r6.apk2024-10-25 20:07 157K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 20:07 3.7K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 20:07 16K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 20:07 119K 
[   ]wol-0.7.1-r3.apk2024-10-25 20:07 25K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 20:07 5.5K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 20:07 8.2K 
[   ]wolfssh-1.4.17-r0.apk2024-10-25 20:07 131K 
[   ]wolfssh-dev-1.4.17-r0.apk2024-10-25 20:07 171K 
[   ]wordgrinder-0.8-r2.apk2024-10-25 20:07 444K 
[   ]wordgrinder-doc-0.8-r2.apk2024-10-25 20:07 18K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 20:07 9.3K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 20:07 2.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 20:07 39K 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 20:07 8.2K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 20:07 1.0M 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 20:07 1.7K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 20:07 12K 
[   ]wsmancli-2.6.2-r0.apk2024-10-25 20:07 20K 
[   ]wsmancli-doc-2.6.2-r0.apk2024-10-25 20:07 3.7K 
[   ]wtfutil-0.43.0-r10.apk2025-02-10 01:33 19M 
[   ]x11docker-7.6.0-r1.apk2024-10-25 20:07 113K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 20:07 9.4K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:36 69K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:36 17K 
[   ]xandikos-0.2.12-r2.apk2025-02-17 12:07 80K 
[   ]xandikos-doc-0.2.12-r2.apk2025-02-17 12:07 2.4K 
[   ]xandikos-openrc-0.2.12-r2.apk2025-02-17 12:07 2.1K 
[   ]xandikos-pyc-0.2.12-r2.apk2025-02-17 12:07 157K 
[   ]xcape-1.2-r0.apk2024-10-25 20:07 6.4K 
[   ]xcape-doc-1.2-r0.apk2024-10-25 20:07 2.8K 
[   ]xcompmgr-1.1.9-r0.apk2024-10-25 20:07 15K 
[   ]xcompmgr-doc-1.1.9-r0.apk2024-10-25 20:07 2.6K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 20:07 70K 
[   ]xed-3.8.2-r0.apk2025-02-05 22:11 1.1M 
[   ]xed-dev-3.8.2-r0.apk2025-02-05 22:11 14K 
[   ]xed-doc-3.8.2-r0.apk2025-02-05 22:11 971K 
[   ]xed-lang-3.8.2-r0.apk2025-02-05 22:11 2.1M 
[   ]xed-python-3.8.2-r0.apk2025-02-05 22:11 24K 
[   ]xendmail-0.4.3-r0.apk2024-10-25 20:07 856K 
[   ]xendmail-doc-0.4.3-r0.apk2024-10-25 20:07 2.5K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 20:07 31K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 20:07 5.1K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 20:07 82K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 20:07 2.5K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 20:07 59K 
[   ]xfce4-panel-profiles-1.0.14-r1.apk2024-10-25 20:07 57K 
[   ]xfce4-panel-profiles-doc-1.0.14-r1.apk2024-10-25 20:07 19K 
[   ]xfce4-panel-profiles-lang-1.0.14-r1.apk2024-10-25 20:07 44K 
[   ]xfd-1.1.4-r0.apk2024-10-25 20:07 13K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 20:07 4.9K 
[   ]xfe-1.46.2-r0.apk2024-10-25 20:07 1.3M 
[   ]xfe-doc-1.46.2-r0.apk2024-10-25 20:07 4.0K 
[   ]xfe-lang-1.46.2-r0.apk2024-10-25 20:07 534K 
[   ]xfe-xfi-1.46.2-r0.apk2024-10-25 20:07 262K 
[   ]xfe-xfp-1.46.2-r0.apk2024-10-25 20:07 238K 
[   ]xfe-xfw-1.46.2-r0.apk2024-10-25 20:07 277K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 20:07 276K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 20:07 2.5K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 20:07 15K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 20:07 3.3K 
[   ]xisxwayland-2-r1.apk2024-10-25 20:07 3.9K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 20:07 2.0K 
[   ]xkb-switch-1.8.5-r0.apk2024-10-25 20:07 16K 
[   ]xkb-switch-doc-1.8.5-r0.apk2024-10-25 20:07 1.9K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 20:07 11K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 20:07 2.5K 
[   ]xload-1.1.4-r0.apk2024-10-25 20:07 6.2K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 20:07 3.2K 
[   ]xmag-1.0.8-r0.apk2024-10-25 20:07 16K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 20:07 4.7K 
[   ]xml2rfc-3.28.0-r0.apk2025-03-02 22:59 352K 
[   ]xml2rfc-pyc-3.28.0-r0.apk2025-03-02 22:59 407K 
[   ]xmp-4.2.0-r0.apk2024-10-25 20:07 22K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 20:07 5.3K 
[   ]xmpp-dns-0.2.4-r21.apk2025-02-10 01:33 1.7M 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 20:07 15K 
[   ]xone-src-0.3_git20230517-r0.apk2024-10-25 20:07 43K 
[   ]xonsh-0.19.1-r0.apk2025-02-05 22:11 585K 
[   ]xonsh-pyc-0.19.1-r0.apk2025-02-05 22:11 1.0M 
[   ]xosview-1.24-r0.apk2024-10-25 20:07 112K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 20:07 12K 
[   ]xsane-0.999-r2.apk2024-10-25 20:07 1.5M 
[   ]xsane-doc-0.999-r2.apk2024-10-25 20:07 4.3K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 20:07 440K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 20:07 62K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 20:07 18K 
[   ]xsoldier-1.8-r2.apk2024-10-25 20:07 68K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 20:07 2.6K 
[   ]xtensor-0.25.0-r0.apk2025-01-15 02:50 267K 
[   ]xtl-0.7.7-r0.apk2024-10-25 20:07 111K 
[   ]xva-img-1.5-r0.apk2024-10-25 20:07 16K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 20:07 16K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 20:07 4.2K 
[   ]xvkbd-4.1-r2.apk2024-10-25 20:07 294K 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 20:07 11K 
[   ]xwayland-satellite-0.5.1-r0.apk2025-03-02 23:01 864K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 20:07 14K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 20:07 12K 
[   ]yamlfmt-0.15.0-r2.apk2025-02-10 01:33 1.6M 
[   ]yamlfmt-doc-0.15.0-r2.apk2025-02-10 01:33 5.5K 
[   ]yarn-berry-4.7.0-r0.apk2025-03-02 16:45 970K 
[   ]yaru-common-23.10.0-r1.apk2024-12-01 02:28 4.5K 
[   ]yaru-icon-theme-23.10.0-r1.apk2024-12-01 02:28 35M 
[   ]yaru-icon-theme-bark-23.10.0-r1.apk2024-12-01 02:28 1.0M 
[   ]yaru-icon-theme-blue-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-magenta-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-mate-23.10.0-r1.apk2024-12-01 02:28 1.2M 
[   ]yaru-icon-theme-olive-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-prussiangreen-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-purple-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-red-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-sage-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-icon-theme-viridian-23.10.0-r1.apk2024-12-01 02:28 1.1M 
[   ]yaru-schemas-23.10.0-r1.apk2024-12-01 02:28 1.8K 
[   ]yaru-shell-23.10.0-r1.apk2024-12-01 02:28 735K 
[   ]yaru-sounds-23.10.0-r1.apk2024-12-01 02:28 676K 
[   ]yaru-theme-23.10.0-r1.apk2024-12-01 02:28 840K 
[   ]yaru-theme-bark-23.10.0-r1.apk2024-12-01 02:28 763K 
[   ]yaru-theme-blue-23.10.0-r1.apk2024-12-01 02:28 765K 
[   ]yaru-theme-hdpi-23.10.0-r1.apk2024-12-01 02:28 73K 
[   ]yaru-theme-magenta-23.10.0-r1.apk2024-12-01 02:28 761K 
[   ]yaru-theme-mate-23.10.0-r1.apk2024-12-01 02:28 727K 
[   ]yaru-theme-olive-23.10.0-r1.apk2024-12-01 02:28 759K 
[   ]yaru-theme-prussiangreen-23.10.0-r1.apk2024-12-01 02:28 760K 
[   ]yaru-theme-purple-23.10.0-r1.apk2024-12-01 02:28 756K 
[   ]yaru-theme-red-23.10.0-r1.apk2024-12-01 02:28 760K 
[   ]yaru-theme-sage-23.10.0-r1.apk2024-12-01 02:28 762K 
[   ]yaru-theme-viridian-23.10.0-r1.apk2024-12-01 02:28 760K 
[   ]yazi-25.3.2-r0.apk2025-03-02 18:03 4.7M 
[   ]yazi-bash-completion-25.3.2-r0.apk2025-03-02 18:03 2.0K 
[   ]yazi-cli-25.3.2-r0.apk2025-03-02 18:03 1.0M 
[   ]yazi-doc-25.3.2-r0.apk2025-03-02 18:03 2.2K 
[   ]yazi-fish-completion-25.3.2-r0.apk2025-03-02 18:03 1.8K 
[   ]yazi-zsh-completion-25.3.2-r0.apk2025-03-02 18:03 2.1K 
[   ]ydcv-0.7-r8.apk2024-10-25 20:07 20K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 20:07 11K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 20:07 2.2K 
[   ]yeti-1.1-r0.apk2024-10-25 20:07 816K 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:04 1.9M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:04 41K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:04 731K 
[   ]yodl-4.02.00-r1.apk2024-10-25 20:07 131K 
[   ]yodl-doc-4.02.00-r1.apk2024-10-25 20:07 59K 
[   ]yoshimi-2.3.2-r0.apk2024-10-25 20:07 5.7M 
[   ]yoshimi-doc-2.3.2-r0.apk2024-10-25 20:07 4.5M 
[   ]yosys-0.42-r0.apk2024-10-25 20:07 18M 
[   ]yosys-dev-0.42-r0.apk2024-10-25 20:07 119K 
[   ]youtube-tui-0.8.1-r0.apk2025-02-27 12:29 1.4M 
[   ]youtube-viewer-3.11.1-r0.apk2024-10-25 20:07 83K 
[   ]youtube-viewer-doc-3.11.1-r0.apk2024-10-25 20:07 41K 
[   ]youtube-viewer-gtk-3.11.1-r0.apk2024-10-25 20:07 171K 
[   ]yubikey-agent-0.1.6-r9.apk2025-02-10 01:33 1.7M 
[   ]z-1.12-r0.apk2024-10-25 20:07 4.6K 
[   ]z-doc-1.12-r0.apk2024-10-25 20:07 3.9K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 20:07 19M 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 20:07 77K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 20:07 98K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 20:07 2.1K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 20:07 15K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 20:07 6.7K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 20:07 22K 
[   ]zfs-src-2.2.4-r1.apk2025-01-09 11:46 32M 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 20:07 128K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 20:07 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 20:07 110K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 20:07 16K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 20:07 26K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 20:07 5.2K 
[   ]zita-resampler-1.10.1-r0.apk2024-10-25 20:07 16K 
[   ]zita-resampler-dev-1.10.1-r0.apk2024-10-25 20:07 3.4K 
[   ]zita-resampler-doc-1.10.1-r0.apk2024-10-25 20:07 4.1K 
[   ]znc-backlog-0_git20210503-r8.apk2024-10-25 20:07 20K 
[   ]znc-clientbuffer-1.0.48-r8.apk2024-10-25 20:07 17K 
[   ]znc-playback-0_git20210503-r8.apk2024-10-25 20:07 18K 
[   ]znc-push-0_git20220823-r8.apk2024-10-25 20:07 85K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 20:07 16K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 20:07 754K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 20:07 2.8K 
[   ]zutty-0.16-r0.apk2025-01-12 21:01 149K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:01 67K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 20:40 180K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 20:40 21K 
[   ]zycore-1.5.0-r0.apk2024-10-25 20:07 20K 
[   ]zycore-dev-1.5.0-r0.apk2024-10-25 20:07 38K 
[   ]zycore-doc-1.5.0-r0.apk2024-10-25 20:07 394K 
[   ]zydis-4.1.0-r0.apk2024-10-25 20:07 211K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-25 20:07 61K 
[   ]zydis-doc-4.1.0-r0.apk2024-10-25 20:07 1.6M 
[   ]alpine-lift-0.2.0-r20.apk2025-02-10 01:32 3.3MAlpine Linux
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 20:07 16KUbuntu Linux
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 20:07 2.3KUbuntu Linux